Exploring the Basics of AC Scan

Similar documents
Testing Digital Systems II

PROCESS-VOLTAGE-TEMPERATURE (PVT) VARIATIONS AND STATIC TIMING ANALYSIS

VLSI Design Verification and Test Delay Faults II CMPE 646

Testing Digital Systems II. Problem: Fault Diagnosis

Lecture 16: Design for Testability. MAH, AEN EE271 Lecture 16 1

The challenges of low power design Karen Yorav

A Novel Low-Power Scan Design Technique Using Supply Gating

Lecture 11: Clocking

Policy-Based RTL Design

Chapter 1 Introduction to VLSI Testing

Lecture #2 Solving the Interconnect Problems in VLSI

EECS 427 Lecture 21: Design for Test (DFT) Reminders

Design for Testability & Design for Debug

I DDQ Current Testing

ECE 484 VLSI Digital Circuits Fall Lecture 02: Design Metrics

INF3430 Clock and Synchronization

A Survey of the Low Power Design Techniques at the Circuit Level

Low Power Design Methods: Design Flows and Kits

CHAPTER 6 DIGITAL CIRCUIT DESIGN USING SINGLE ELECTRON TRANSISTOR LOGIC

UNIT-III POWER ESTIMATION AND ANALYSIS

UNIT-II LOW POWER VLSI DESIGN APPROACHES

DFT for Testing High-Performance Pipelined Circuits with Slow-Speed Testers

Geared Oscillator Project Final Design Review. Nick Edwards Richard Wright

RECENT technology trends have lead to an increase in

POWER GATING. Power-gating parameters

VLSI System Testing. Outline

Design for Testability Implementation Of Dual Rail Half Adder Based on Level Sensitive Scan Cell Design

CHAPTER III THE FPGA IMPLEMENTATION OF PULSE WIDTH MODULATION

BASICS: TECHNOLOGIES. EEC 116, B. Baas

Lecture 10. Circuit Pitfalls

Lecture 9: Clocking for High Performance Processors

VLSI Design I; A. Milenkovic 1

The Need for Gate-Level CDC

Datorstödd Elektronikkonstruktion

R Using the Virtex Delay-Locked Loop

EECS 579 Fall What is Testing?

Novel Low-Overhead Operand Isolation Techniques for Low-Power Datapath Synthesis

LOW-POWER SOFTWARE-DEFINED RADIO DESIGN USING FPGAS

Debugging a Boundary-Scan I 2 C Script Test with the BusPro - I and I2C Exerciser Software: A Case Study

Computer Aided Design of Electronics

In the previous chapters, efficient and new methods and. algorithms have been presented in analog fault diagnosis. Also a

Emulating and Diagnosing IR-Drop by Using Dynamic SDF

Test Automation - Automatic Test Generation Technology and Its Applications

Ruixing Yang

Analysis and Reduction of On-Chip Inductance Effects in Power Supply Grids

Low-Power Digital CMOS Design: A Survey

Dynamic Threshold for Advanced CMOS Logic

Signature Anaysis For Small Delay Defect Detection Delay Measurement Techniques

Lecture 3, Handouts Page 1. Introduction. EECE 353: Digital Systems Design Lecture 3: Digital Design Flows, Simulation Techniques.

CMOS Test and Evaluation

ECEN689: Special Topics in High-Speed Links Circuits and Systems Spring 2012

DESIGN OF MULTIPLYING DELAY LOCKED LOOP FOR DIFFERENT MULTIPLYING FACTORS

Overview ECE 553: TESTING AND TESTABLE DESIGN OF DIGITAL SYSTES. Motivation. Modeling Levels. Hierarchical Model: A Full-Adder 9/6/2002

Overview of Design Methodology. A Few Points Before We Start 11/4/2012. All About Handling The Complexity. Lecture 1. Put things into perspective

VLSI Physical Design Prof. Indranil Sengupta Department of Computer Science and Engineering Indian Institute of Technology, Kharagpur

Challenges of in-circuit functional timing testing of System-on-a-Chip

EDA Challenges for Low Power Design. Anand Iyer, Cadence Design Systems

High Speed Communication Circuits and Systems Lecture 14 High Speed Frequency Dividers

White Paper Stratix III Programmable Power

Microcircuit Electrical Issues

Improved DFT for Testing Power Switches

New Digital Capacitive Isolator Training Guide ISO74xx & ISO75xx

Totally Self-Checking Carry-Select Adder Design Based on Two-Rail Code

Low-Cost, Low-Power Level Shifting in Mixed-Voltage (5 V, 3.3 V) Systems

Pulse propagation for the detection of small delay defects

PROGRAMMABLE ASICs. Antifuse SRAM EPROM

EE241 - Spring 2000 Advanced Digital Integrated Circuits. Project Presentations

SURVEY AND EVALUATION OF LOW-POWER FULL-ADDER CELLS

Department of Electrical and Computer Systems Engineering

Reliable Electronics? Precise Current Measurements May Tell You Otherwise. Hans Manhaeve. Ridgetop Europe

EECS150 - Digital Design Lecture 28 Course Wrap Up. Recap 1

Timing Issues in FPGA Synchronous Circuit Design

Mohit Arora. The Art of Hardware Architecture. Design Methods and Techniques. for Digital Circuits. Springer

Advanced Digital Design

CPE/EE 427, CPE 527 VLSI Design I: Homeworks 3 & 4

Fault Testing of Analog Circuits Using Combination of Oscillation Based Built-In Self- Test and Quiescent Power Supply Current Testing Method

SAF ANALYSES OF ANALOG AND MIXED SIGNAL VLSI CIRCUIT: DIGITAL TO ANALOG CONVERTER

A Digital Clock Multiplier for Globally Asynchronous Locally Synchronous Designs

Vector-based Peak Current Analysis during Wafer Test of Flip-chip Designs

Chapter 20 Circuit Design Methodologies for Test Power Reduction in Nano-Scaled Technologies

Lecture 9: Cell Design Issues

CHAPTER 4 GALS ARCHITECTURE

MS Project :Trading Accuracy for Power with an Under-designed Multiplier Architecture Parag Kulkarni Adviser : Prof. Puneet Gupta Electrical Eng.

Yet, many signal processing systems require both digital and analog circuits. To enable

Basic Logic Circuits

Module -18 Flip flops

Nanometer Technologies: Where Design and Manufacturing Converge. Walden C. Rhines CHAIRMAN & CEO

Getting the Best Performance from Challenging Control Loops

AN EFFICIENT APPROACH TO MINIMIZE POWER AND AREA IN CARRY SELECT ADDER USING BINARY TO EXCESS ONE CONVERTER

Managing Cross-talk Noise

Dynamic Logic. Domino logic P-E logic NORA logic 2-phase logic Multiple O/P domino logic Cascode logic 11/28/2012 1

PHYSICAL STRUCTURE OF CMOS INTEGRATED CIRCUITS. Dr. Mohammed M. Farag

In this lecture, we will first examine practical digital signals. Then we will discuss the timing constraints in digital systems.

Delay-Locked Loop Using 4 Cell Delay Line with Extended Inverters

Digital Systems Laboratory

Adaptive Intelligent Parallel IGBT Module Gate Drivers Robin Lyle, Vincent Dong, Amantys Presented at PCIM Asia June 2014

Recursive Pseudo-Exhaustive Two-Pattern Generator PRIYANSHU PANDEY 1, VINOD KAPSE 2 1 M.TECH IV SEM, HOD 2

EC 1354-Principles of VLSI Design

Statistical Timing Analysis of Asynchronous Circuits Using Logic Simulator

Digital design & Embedded systems

Transcription:

Page 1 of 8 Exploring the Basics of AC Scan by Alfred L. Crouch, Inovys This in-depth discussion of scan-based testing explores the benefits, implementation, and possible problems of AC scan. Today s large, complex chips present an entirely new set of test issues for modern IC design teams. Today, many chip designs run in the multimillion gate range and combine multiple IP cores, some from third-party suppliers. Moreover, the migration from deep-submicron processes with features >100-nm to so-called nanometer processes of <100-nm has brought an entirely new class of speed-related signalintegrity and design-quality issues to the forefront of IC design. The complexity of large systems on a chip (SOCs) is quickly rendering the development of functional vectors impractical. In many cases, structured test methodologies present a more efficient approach to identifying manufacturing and design defects, characterizing processes, and accelerating binning. AC scan, a key process used in a structured test methodology, can be used to accomplish many of these goals. Structural Testing In a structured test approach, engineers use fault models based on validating the structure of the silicon rather than models based on verifying the behavior of the silicon. Structured testing can be performed using the static stuck-at fault model. Gates and wires are proven using design for test (DFT) in the chip with vector generation and the vector grading process automated via EDA tools. Tests are developed by toggling the suspected defective node to its opposite value, for example, forcing a 1 on a stuck-at 0 node and then applying values at the supporting gate inputs that should allow the good value to propagate to an observation point. If the value at the observation point differs from the expected value, then a fault has been detected. The vectors used in structural test are highly portable and carry more inherent design information than functional test vectors. More comprehensive vectors allow test engineers to organize tests, develop test programs, and diagnose problems while requiring less familiarity with the chip. In addition, when structured test architectures are

Page 2 of 8 correctly implemented, they can provide more coverage with fewer vectors. Predominance of Delay In deep submicron and nanometer designs, it is impossible to describe all faults with a static fault model. A better choice is the dynamically evaluated delay fault model. While very similar to the stuck-at model, it embeds timing characteristics and converts a timing weakness into a Boolean failure: the wrong logic value arrives at the observe point at the sample time. The gate or transition delay is one delay fault model. It represents itself as a pin value of a gate element that acts as if it has a slow-torise (STR) or slow-to-fall (STF) logic transition or as if an interconnect signal has a greater-than-normal propagation delay. A second fault model, the path-delay fault, is similar to the gate-delay fault but resolves the STR and STF concept to the last gate in a path through several gates and net connections. Various defects that cause errant timing behavior can be modeled as delay faults. These include resistive gate-oxide shorts, insufficient doping that may result in slow transistor switching, incorrect routes such as open and plugged vias, and open or malformed routes that may result in resistive propagation paths (Figure 1). Metal bridges or shorted wire connections also can be modeled as delays, but the root cause is the contention with other signals this fault causes.

Page 3 of 8 Figure 1. Delay Fault Model Other defects causing delay-like behaviors to show up in logic are power supply droop, when power rails cannot deliver enough power to drive logic blocks; or clock droop, when a clock-tree driver is of insufficient drive strength or when the clock edge rate is too slow. Some of these effects may be so subtle that they cannot be detected in noncritical paths. Even though small delays may seem like an acceptable defect or fault, they eventually can lead to reliability issues or cause other weaknesses such as excessive leakage. Diagnosis Dilemma Many defects in nanometer processes manifest themselves as delays and are located in the wiring, not the gates. Unfortunately, available third-party EDA tools are focused on gate-level analysis. This obviates existing diagnosis processes except for defects that resemble stuck-at faults. Finding problems in the wiring means analyzing the routes in a diagnostic sense, and this is a very complicated process. It requires the tracing and understanding of a 3-D structure with multiple metal layers, multiple branches, nodes, stems, vertical vias, via plugs, and surrounding complex dielectric materials. As IC designs and the routing strategies have increased in complexity, wires have grown in length and become increasingly convoluted, often surrounded by complex dielectrics to minimize capacitance. Focus on AC Scan AC scan conducts an at-speed sample cycle to verify timing compliance. Engineers validate frequency compliance by ensuring that the critical timing paths in a design meet their specification in silicon after manufacturing. This analysis is done on each register or output pin so-called endpoints. Pin timing specifications, such as input-setup, input-hold, and outputvalid, are verified by ensuring that the one worst-case longest path and the one best-case shortest path, per pin, fall within the specified setup-and-hold timing zone. To locate delay defects, AC scan exercises a number of paths per endpoint, attempting to identify noncritical paths that may incur a delay, which can make them become critical paths. The term AC scan does not imply testing a part at-speed. In fact, running scan at-speed would require prohibitively high levels of power consumption and force over-design of power rails, clock trees, and the scan architecture. The primary difference between DC stuckat scan and AC scan is the clocking during the sample cycle. For AC scan, only the launch and capture of a signal need to be at-speed. AC scan may benefit many high-performance IC designs, but it is

Page 4 of 8 required for embedded complex IP blocks. Also, in today s nanometer-scale processes, delay is the predominant failure mode. Functional vectors are not comprehensive in detecting and isolating structural delay faults. In most cases, the most efficient and comprehensive way to detect these subtle faults is through the use of AC scan with critical paths identified from static timing analysis. AC scan can replace some functional tests. It can reduce the need for highly precise signal timing and edge placement, complex sequencing capability, and high-frequency data rates. It also offers very portable vectors that are automatically generated by ATPG. AC Scan Fundamentals Fault models for AC scan fall into two categories: Transition-delay models that detect STR and STF signals and are applied to a gate or route to determine gross delays. Path-delay models that detect STR and STF signals over a complete described pathway made of nets, nodes, and gates and mostly are used to detect subtle delays on critical paths. Faults A transition-delay test is launched from one state element or primary input, exercises a fault at a gate or node, and is captured at another state element or observed directly at a primary output pin. The primary difference between AC scan and stuck-at scan testing is the addition of timing to the analysis. The output of the gate is required to be set to the fail value first. A vector pair must be applied to transition the fail value to the passing value and propagate that value to an observation point. If the propagation is slow, then the fail value will be captured. The diagnostic technique used with transition-delay testing is very similar to that for stuck-at faults. The collection of failing vectors is fault-simulated without fault-dropping, and a single fault common to the collection of failing vectors is identified as the most likely culprit. Path-delay fault models are used to detect STR or STF nodes in certain propagation pathways, and they are applied to critical paths to identify very subtle delays. Path faults can be viewed as the accumulation of a collection of transition or gate delay faults. The number of paths within a design description is finite but significantly larger than the number of elements and, as a result, difficult to compute. Typically, the path selection process must be constrained in some manner to meet computational limitations. Debug and diagnostics in path-delay testing are similar to those used in DC scan and transition-delay testing except that diagnostic fault

Page 5 of 8 simulation is not necessary as a first step. The path file used as the fault model to generate the vector becomes the fault dictionary so the first level of diagnostics is implemented by matching multiple path files and looking for common elements. ATPG Both the transition-delay and the path-delay models can be analyzed and applied in two time frames to coincide with the need for a vector pair. The first time frame establishes the fail value and launches a transition. The second time frame captures the effect of the transition. The launch-capture analysis is supported by all publicly available ATPG tools and has been for some time. While the vector-generation technology used in AC scan is mature, its application is not. Clocks Clocking can be implemented in many ways, but only one at-speed clock pulse is required. For the Mux-D flip-flop type of scan, the scan test clock usually is the system clock. For level-sensitive scan design (LSSD), the scan test clocks generally are dedicated. For devices that fall within the clocking capabilities of most common testers at speeds under 200 MHz, the clock is connected to the chip package through the system clock pin and used for both the shift and capture clock. At higher frequencies, the system clock often is provided by an embedded on-chip phase-lock loop (PLL) or delaylock loop (DLL). In this case, the tester provides a lower speed reference clock. The internal clock applied to the system and often to the scan architecture is the PLL clock. The concern here becomes the power consumption at-speed for both shifting and sampling. Scan toggling can consume more power than the part is rated for. So the problem is to provide a slow shift clock and then allow for an at-speed sample clock. The most common clocking technique is to select an external scan shift clock or a PLL-generated sample clock via a multiplexer. If switching between clocks becomes a critical timing problem, then the PLL can be modified to chop out pulses so it can effectively be operated at a shift-clock frequency. In this case, at-speed sampling is accomplished when the PLL provides two back-to-back pulses without chopping. Two Techniques Assertion and deassertion of the scan shift enable (SE) signal must coincide with the sample clocking. There are two competing methods: launch on shift (LOS) and launch on capture (LOC). Design of the scan architecture differs for the two methods (Figure 2). LOS looks and acts exactly like regular DC scan with only the sample interval changed. It stacks the vector pair in the scan chain so

Page 6 of 8 that a state is installed on the next to last shift (n-1). Figure 2. AC Scan Operations The last shift replicates all of the state data and applies the launch from the launch bit. Then SE is deasserted, and the sample represents the capture. It is easy for the ATPG tool to calculate what to put in the n-1 spaces in the scan chain. For lower speed designs, the shift and sample clocks are applied at the same frequency, and the entire operation looks like a stuck-at test. For faster designs, shifting is slow, but the at-speed cycle is applied between the last shift and the sample hence, the term LOS. When the at-speed cycle has a smaller interval than the shift cycle, the technique is known as cycle-switching or cycle-shrinking. When the at-speed cycle is performed by manipulating the duty cycle so that the last shift has its launch clock late in the period and the capture cycle has its capture clock early in the next period, the technique is known as clock-chopping or duty-cycle modulation. With LOS, the SE must deassert between the last shift and the now atspeed sample cycle. At high frequencies, this often makes the SE a very critical signal that can no longer be supplied by the tester. The fix is to treat the SE like a clock by making a distribution tree and possibly registering it internal to the chip, which now makes it a problem for the ATPG tool. The competing LOC method deviates from LOS by conducting two sample cycles instead of one. A state is scanned into the part, then a sample changes the launch bit in its register or LOC, and the next sample captures the at-speed result of the launched transition. Only the LOC cycle is required to be at-speed, and the SE is deasserted in the previous cycle; it no longer is critical.

Page 7 of 8 There are several pros and cons associated with LOS and LOC that impact the software ATPG tool or the hardware scan architecture. LOS is easier on the ATPG tool and results in faster run time and more compressed vectors. However, to generate high coverage, it requires shift-bit independence, which may lead to nonoptimal scan routing, and LOS may generate vectors for invalid test-only or false paths. LOC needs longer run times from the ATPG tool and results in less vector compression. On the other hand, it does not require any particular bit ordering in the scan chains and allows for more hardware optimizations. Scan Architectures Generally, all of the optimizations that apply to DC scan also pertain to AC scan: balancing scan chains, partitioning time domains into scan domains, not supporting load-and-park, and making scan length configurable. Many of the same test rules also are applicable: driven contention is prohibited, clocks cannot be used as data, data cannot be used as clocks, and combinational feedback is not permitted. The most alarming emerging problem is the effect that nanometer technologies are having on scan architectures. Modern designs suffer from many broken scan chains blocked scan chains where shift data is stopped or scan chains that exhibit hold-time problems where bitskipping and data-smearing occur. Unfortunately, advanced faulttolerant and easy-to-debug scan chain design is not well understood. Nevertheless, common debug methodologies, such as reset and partial reset, and scan chain recovery techniques including mux-around and scan-chain reconfigurability, are being developed. Conclusion Despite its many advantages, AC scan is not a mainstream test methodology today. While the technology is mature and proven, its application still is not well understood across the industry. Moreover, the misapplication of the technology in early implementations has resulted in a negative perception of AC scan results. However, as IC designers move into the nanometer domain, they are confronting a wide array of new yield issues driven by delay defects. Traditional approaches to functional test are too time-consuming and costly to apply to these new multimillion gate IC designs. Using AC scan design, test engineers can shorten the learning curve to improve yield, meet test goals faster and with less effort, and achieve a more deterministic result. About the Author Alfred Crouch is the chief scientist overseeing R&D of new DFT methodologies at Inovys. Prior to joining the company, he was a principal member of technical staff and DFT manager at Motorola

Page 8 of 8 and worked in chip design and test for Digital Equipment Corp. and Texas Instruments. Mr. Crouch has been awarded 13 patents, authored a book and many articles, lectured at the University of New Mexico and University of Texas, and presented papers at the International Test Conference and tutorials at the Design Automation Conference. Inovys, 5870 Stoneridge Dr., Pleasanton, CA 94588-2733, 512-632-7898, e-mail: al.crouch@inovys.com FOR MORE INFORMATION on the fundamentals of DFT www.rsleads.com/407ee-213 Return to EE Home Page Published by EE-Evaluation Engineering All contents 2004 Nelson Publishing Inc. No reprint, distribution, or reuse in any medium is permitted without the express written consent of the publisher.