EUVL Exposure Tools for HVM: It s Under (and About) Control

Similar documents
EUV Source for High Volume Manufacturing: Performance at 250 W and Key Technologies for Power Scaling

Status and challenges of EUV Lithography

EUV lithography: status, future requirements and challenges

EUVL Scanners Operational at Chipmakers. Skip Miller Semicon West 2011

EUV lithography: today and tomorrow

EUVL getting ready for volume introduction

LPP EUV Source Development and HVM I Productization

EUV Light Source The Path to HVM Scalability in Practice

EUV Supporting Moore s Law

High-NA EUV lithography enabling Moore s law in the next decade

TSMC Property. EUV Lithography. The March toward HVM. Anthony Yen. 9 September TSMC, Ltd

Discovering Electrical & Computer Engineering. Carmen S. Menoni Professor Week 3 armain.

Bank of America Merrill Lynch Taiwan, Technology and Beyond Conference

Holistic View of Lithography for Double Patterning. Skip Miller ASML

2008 European EUVL. EUV activities the EUVL shop future plans. Rob Hartman

Light Source Technology Advances to Support Process Stability and Performance Predictability for ArF Immersion Double Patterning

Laser-Produced Sn-plasma for Highvolume Manufacturing EUV Lithography

Spring of EUVL: SPIE 2012 AL EUVL Conference Review

TWINSCAN XT:1950i Water-based immersion taken to the max Enabling fast, single-exposure lithography at sub 40 nm

DUV. Matthew McLaren Vice President Program Management, DUV. 24 November 2014

EUV: Status and Challenges Ahead International Workshop on EUVL, Maui 2010

Reliable High Power EUV Source Technology for HVM: LPP or DPP? Vivek Bakshi, Ph.D. EUV Litho, Inc.

Nikon EUVL Development Progress Update

Optics for EUV Lithography

Imaging for the next decade

Metrology in the context of holistic Lithography

Lithography Roadmap. without immersion lithography. Node Half pitch. 248nm. 193nm. 157nm EUVL. 3-year cycle: 2-year cycle: imec 2005

Progress towards Actinic Patterned Mask Inspection. Oleg Khodykin

Laser Produced Plasma Light Source for HVM-EUVL

EUVL: Challenges to Manufacturing Insertion

immersion optics Immersion Lithography with ASML HydroLith TWINSCAN System Modifications for Immersion Lithography by Bob Streefkerk

Holistic Lithography. Christophe Fouquet. Executive Vice President, Applications. 24 November 2014

Update on 193nm immersion exposure tool

Progress in full field EUV lithography program at IMEC

CO 2 / Sn LPP EUV Sources for device development and HVM

EUV Lithography Transition from Research to Commercialization

1 st /2nd generation Laser-Produced Plasma source system for HVM EUV lithography

UV Nanoimprint Stepper Technology: Status and Roadmap. S.V. Sreenivasan Sematech Litho Forum May 14 th, 2008

22nm node imaging and beyond: a comparison of EUV and ArFi double patterning

Light Sources for High Volume Metrology and Inspection Applications

Japan Update. EUVA (Extreme Ultraviolet Lithography System Development Association) Koichi Toyoda. SOURCE TWG 2 March, 2005 San Jose

IMEC update. A.M. Goethals. IMEC, Leuven, Belgium

Mask Technology Development in Extreme-Ultraviolet Lithography

From ArF Immersion to EUV Lithography

Newer process technology (since 1999) includes :

S26 Basic research on 6.x nm EUV generation by laser produced plasma

MAPPER: High throughput Maskless Lithography

Development Status of EUV Sources for Use in Alpha-, Beta- and High Volume Chip Manufacturing Tools

Scope and Limit of Lithography to the End of Moore s Law

R&D Status and Key Technical and Implementation Challenges for EUV HVM

NXE: 3300B qualified to support customer product development

Competitive in Mainstream Products

1 st generation Laser-Produced Plasma source system for HVM EUV lithography

Imec pushes the limits of EUV lithography single exposure for future logic and memory

Optical Microlithography XXVIII

Power scaling of picosecond thin disc laser for LPP and FEL EUV sources

Fiber Lasers for EUV Lithography

Process Optimization

Multiple Patterning for Immersion Extension and EUV Insertion. Chris Bencher Distinguished Member of Technical Staff Applied Materials CTO group

Light Sources for EUV Mask Metrology. Heiko Feldmann, Ulrich Müller

Enabling Semiconductor Innovation and Growth

Section 2: Lithography. Jaeger Chapter 2. EE143 Ali Javey Slide 5-1

The future of EUVL. Outline. by Winfried Kaiser, Udo Dinger, Peter Kuerz, Martin Lowisch, Hans-Juergen Mann, Stefan Muellender,

EUVL Activities in China

Novel EUV Resist Development for Sub-14nm Half Pitch

Present Status and Future Prospects of EUV Lithography

Closed Loop Registration Control (RegC ) Using PROVE as the Data Source for the RegC Process

A Comparison of ArF and KrF Laser Performance At 2kHz For Microlithography

Actinic Review of EUV Masks: Performance Data and Status of the AIMS TM EUV System

Electron Multi-Beam Technology for Mask and Wafer Direct Write. Elmar Platzgummer IMS Nanofabrication AG

EUVL Activities in China. Xiangzhao Wang Shanghai Inst. Of Opt. and Fine Mech. Of CAS. (SIOM) Shanghai, China.

PROCEEDINGS OF SPIE. LPP-EUV light source for HVM lithography. T. Saito, Y. Ueno, T. Yabu, A. Kurosawa, S. Nagai, et al.

Immersion Lithography: New Opportunities for Semiconductor Manufacturing

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. EE143 Ali Javey Slide 5-1

Benefit of ArF immersion lithography in 55 nm logic device manufacturing

Towards an affordable Cost of Ownership for EUVL. Melissa Shell Principal Engineer & Program Manager, EUVL Research Components Research October 2006

2009 International Workshop on EUV Lithography

Improving efficiency of CO 2

450mm and Moore s Law Advanced Packaging Challenges and the Impact of 3D

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. The lithographic process

GIGAPHOTON INTRODUCTION

Major Fabrication Steps in MOS Process Flow

Lithography. 3 rd. lecture: introduction. Prof. Yosi Shacham-Diamand. Fall 2004

Shooting for the 22nm Lithography Goal with the. Coat/Develop Track. SOKUDO Lithography Breakfast Forum 2010 July 14 (L1)

Lecture 7. Lithography and Pattern Transfer. Reading: Chapter 7

EUV Substrate and Blank Inspection

Optical Lithography. Here Is Why. Burn J. Lin SPIE PRESS. Bellingham, Washington USA

Institute of Solid State Physics. Technische Universität Graz. Lithography. Peter Hadley

Multi-pass Slab CO 2 Amplifiers for Application in EUV Lithography

EUV Resist Materials and Process for 16 nm Half Pitch and Beyond

450mm patterning out of darkness Backend Process Exposure Tool SOKUDO Lithography Breakfast Forum July 10, 2013 Doug Shelton Canon USA Inc.

Leadership Through Innovation Litho for the future

TECHNOLOGY ROADMAP 2006 UPDATE LITHOGRAPHY FOR

EE143 Fall 2016 Microfabrication Technologies. Lecture 3: Lithography Reading: Jaeger, Chap. 2

ECSE 6300 IC Fabrication Laboratory Lecture 3 Photolithography. Lecture Outline

LPP collector mirrors coating, metrology and refurbishment

EUVL: Challenges to Manufacturing Insertion

Part 5-1: Lithography

Akira Miyake, Chidane Ouchi, International EUVL Symposium, October , Kobe Slide 1

A Reliable Higher Power ArF Laser with Advanced Functionality for Immersion Lithography

Transcription:

EUVL Exposure Tools for HVM: It s Under (and About) Control Wim van der Zande ASML Director, Research EUV Litho Workshop Amsterdam November 2016

ASML at a EUV Source Workshop Slide 2 The position of EUV for continuation of advanced lithography solutions: More than simply shrink EUV Installed base performance: Imaging, overlay, defectivity: status and implication EUV source architecture, performance and power scaling: The beauty of the ASML EUV Source Summary

Acknowledgements: Igor Fomenkov, David Brandt, Daniel Brown, Rob Rafac, Alexander Schafgans, Yezheng Tao, Michael Purvis, Alex Ershov, Georgiy Vaschenko, Slava Rokitski, Michael Kats, Daniel Riggs, Wayne Dunstan, Michael Varga, Mathew Abraham, Matthew Graham,...... Slide 3 Cymer LLC, 17075 Thornmint Ct, San Diego, CA 92127 USA Marco Pieters, Rudy Peeters, Daniel Smith, Uwe Stamm, Sjoerd Lok, Arthur Minnaert, Martijn van Noordenburg, Joerg Mallmann, David Ockwell, Henk Meijer, Judon Stoeldraijer, Christian Wagner, Carmen Zoldesi, Eelco van Setten, Jo Finders, Koen de Peuter, Chris de Ruijter, Milos Popadic, Roger Huang, Roderik van Es, Marcel Beckers, Hans Meiling,..... ASML Netherlands B.V., De Run 6501, 5504 DR Veldhoven, The Netherlands

Minimum Resolution, Half Pitch [nm] Shrink drives need for advanced lithography solutions Minimum Resolution of Critical Device Features 70nm 50nm MPU Metal interconnect 3D NAND Memory Pipe xx Minimum resolution Effective 3D resolution Number of 3D or x-point stacks Confidential Slide 4 11/17/2016 30nm 20nm 10nm 8nm 2D NAND Bit & Word Line 24 3D NAND Half pitch / # stacks 32 Foundry Metal interconnect 48 2 4 64 8 DRAM Bit line X-point Bit & Word Lines 6nm 96 128 192 4nm 3nm X-point Half pitch / # stacks Year Production Start

Overlay [nm] Focus [nm] Requirements for Overlay & Focus are challenging Year HVM 2014 2015 2016 2017 2018 2019 2020 Logic - Foundry 20 nm 16 14 nm 10 nm 7 nm 5 nm Slide 5 June 22, 2016 Logic - MPU DRAM NAND planar & 3D X-Point 22 nm 14 nm 10 nm 7 nm D2xM D2xL D1xH D1xM D1x 19 nm 3D x24 3D x32 16 nm 3D x48 14 nm 3D x64 3D x96 3D x128 x192 2x x2 2x x4 1x x4 1x x8 Production Ramp R&D Roadmap On-Product Overlay 1 5.0 ~ 4.0 nm 5.0 ~ 3.5 nm 5.0 ~ 3.5 nm 4.5 ~ 2.5 nm 3.5 ~ 2.5 nm 2.5 ~ 2.0 nm 2.5 ~ 1.8 nm On-Product Focus 2 90 ~ 60 nm 80 ~ 60 nm 70 ~ 60 nm 60 ~ 50 nm 60 ~ 50 nm 50 ~ 40 nm 50 ~ 40 nm 1 Range for Logic & Memory critical layers 2 Range for EUV & ArF immersion foundry production wafer focus control requirements with process window enhancement techniques. 8 6 4 Holistic Overlay Roadmap On Product Overlay Matched Scanner Overlay Application & Process 100 80 60 40 Holistic Immersion Focus Control Roadmap On Product Focus Control Scanner Focus Control Application & Process 2 20 0 0 2014 2016 2018 2020 2014 2016 2018 2020

ASML s holistic shrink roadmap: DUV-EUV coexistence Slide 6 Extend DUV to support multiple patterning Introduce EUV to volume manufacturing Support both with a suite of process window enhancement, control and calibration products

Slide 7 12 Jan 2016 EUV Why It Helps

EUV single exposure replaces immersion multiple patterning 2D-Metal at 32nm pitch achieved with Quasar illumination Confidential Slide 8 April 2016 ArFi LE 3 (triple patterning) EUV Single Exposure 32nm pitch / 16nm CD Dose: 20 mj/cm 2 48nm pitch / 24nm CD Quasar Quasar, illumination Pupil Pupil Fill ratio Fill 20% ratio 20% In cooperation with IMEC

EUV: The overall economic value to Customers Slide 9 1 2 Per-layer patterning cost comparison EUV clear winner for critical layers (3x ArFi immersion and above) Shorter cycles of learning = faster time to market Single-expose layers reduce process complexity (6-month gain) 3 Steeper ramp to higher yield Fewer multiple patterning layers mean higher yield (up to 9%) 4 Superior electrical properties Better binning 5 In a full fab, EUV enables higher output DRAM example: 78% vs 62% bit growth

Increasing Yield 2 Faster yield ramp with EUV means faster time to market Slide 10 6 months 1D-ArFi 1D-EUV 2D-EUV May June July Aug Sept Oct Nov Dec Jan Feb Mar Apr May June A simpler process goes through yield ramp faster

4 Electrical data confirms: EUV enables superior devices Slide 11 SADP: Self aligned double patterning

Slide 12 12 Jan 2016 EUV Where are we now

Customer Confidence in EUV continues to increase Slide 13 Source power 125W configuration at customer sites 210 Watts of dose-controlled EUV power demonstrated Availability Five customer systems have achieved a four-week average availability of more than 80%; however, consistency still needs to be improved Productivity More than 1,200 wafers per day (wpd) exposed on NXE:3350B at a customer site Peak performance near target of 1500 wpd (achieved 1488 wpd at ASML)

NXE extension roadmap to optimize capital efficiency Slide 14 1 st system shipment 2013 Logic DRAM 55 WPH 125 WPH 145 WPH 185 WPH R&D NXE:3300B 250W LPP Half pitch 22 nm Focus* 110 nm DCO/MMO 3.0/5.0 nm OPO * 7.0 nm UVLS D1H SNEP 3350B 2015 7 NXE:3350B 16 nm 70 nm 1.5/2.5 nm 3.5 nm D1M 20% PFR illuminator OFP 3350B-3400B 2017 5 NXE:3400B 350W LPP 13 nm 60 nm 1.4/<2.0 nm 2.5 nm 2019 3.x D1L UVLS Mk2 Orion 200 Lens thermal NXE:3450C 13 nm 50 nm 1.2/<1.7 nm 2.0 nm <D1L 2021 2~2.5 Products under study 500W source Anamorphic lens Stages, handlers High NA <8 nm <40 nm 1.0/<1.4 nm 1.5 nm Roadmap: July 2016 * On product overlay (OPO) and focus are not ATP specs, but required performance for specific customer nodes to be achieved including Application and DUV configuration

Slide 15 12 Jan 2016 EUV Installed Base

NXE:3350B: 2x overlay improvement at 16nm resolution Supporting 7nm logic, ~15nm DRAM requirements Slide 16 Overlay set up Set-up and modeling improvements Reticle Stage Better thermal control increased servo bandwidth Projection Optics Higher lens transmission improved aberrations and distortion SMASH sensor Improved alignment sensor Off-Axis Illuminator FlexPupil Wafer Stage Improved thermal control Resolution Full wafer CDU DCO MMO 16nm < 1.3nm < 1.5nm < 2.5nm Spotless NXE Automated wafer table cleaning Focus control < 70nm Productivity 125 WPH New UV level sensor Improved air mounts Overlay Imaging/Focus Productivity

Total number of wafers exposed >405k wafers exposed on NXE:3300B at customer sites Currently 8 systems running in the field Slide 17 450,000 400,000 350,000 300,000 250,000 200,000 150,000 100,000 50,000 0 201620 201616 201612 201608 201604 201553 201549 201545 201541 201537 201533 201529 201525 201521 201517 201513 201509 201505 201501 201449 201445 201441 201437 201433 201429 201425 201421 201417 201413 201409 201405 Week

NXE:3350B: 125W settings qualified Slide 18 Mean pulse energy at Intermediate Focus ~3mJ EUV power at Intermediate Focus 125W Energy control Overhead ~20%

Dose controlled EUV power (W) Progress in source power supporting productivity roadmap to >125 WPH Slide 19 240 220 200 180 160 140 120 100 80 60 40 20 3100 NOMO (delivered) 3100 MOPA (not shipped) 3100 MOPA+PP (not shipped) 3300 MOPA+PP (delivered) 3400 MOPA+PP (development) 0 2008 2010 2012 2014 2016 Year CE~5.5% 210W with dose in specifications obtained on development source

Slide 20 12 Jan 2016 EUV Installed Base: more than power alone

Process: resist towards 16nm resolution at 125 WPH 19% EL, 4.4nm LWR @18.5mJ/cm 2. Also 13nm resolved with 17% EL and 4.2nm LWR @31mJ/cm 2 Slide 21 NXE:3350B 16nm Horizontal Dense lines/spaces 13nm Horizontal Dense lines/spaces Reference CAR New formulation CAR New Inpria resist (NTI non-car) CAR New Inpria resist (NTI non-car) SEM image @BE/BF Dose 40 mj/cm 2 25 mj/cm 2 18.5 mj/cm 2 ~40 mj/cm 2 31 mj/cm 2 Exposure Latitude 16 % 16 % 19 % - 17 % DoF 145 nm 100 nm 125 nm - 150 nm LWR 4.6 nm 5.2 nm 4.4 nm 4.5 nm 4.2 nm LWR = Line Width Roughness DoF = Depth of Focus EL = Exposure Latitude BE/BF = Best Energy/Best Focus CAR = Chemically Amplified Resist

NXE:3350B overlay and focus performance Well in specification due to HW improvement and new calibrations Slide 22 Dedicated chuck overlay [nm] Matched machine overlay [nm] Focus uniformity [nm]

Overlay 99.7% (nm) NXE:3350B matched machine overlay with NXT:1980Di <2.8nm Slide 23 Lot (x: 2.8nm, y: 2.5nm) Wafer number

NXE Pellicles are being mounted and used in scanners Slide 24 Prototype pellicle on early integration mounting tooling

Slide 25 12 Jan 2016 EUV source architecture, performance, power scaling The beauty of the ASML/CYMER EUV Source

Beam Transport Intermediate Focus Unit EUV Source Architecture, Sn LPP MOPA with Pre-pulse Collector Tin Droplet Generator Vessel Scanner Slide 26 Laser Metrology, MP PP Focusing Collector x z metrology for source to scanner alignment Vanes High Power Amplification Chain Tin catch Source Pedestal CO2 system Fab Floor Fab Floor Scanner Pedestal High Power Seed System On-droplet Gain Optimization Power Amplifiers PP&MP Seed unit Laser / EUV dose Controls Sub-fab Floor

EUV LPP Source Key Technologies Slide 27 1 2 3

Source power and availability drive productivity Technology development work is ongoing to improve all aspects Slide 28 Productivity = Throughput( EUV Power) Availability EUV Power= (CO 2 laser power CE transmission)*(1-dose overhead) Raw EUV power Source power from 10 W to > 250 W Drive laser power from 20 to 40 kw Conversion efficiency (CE) from 1 to 6% Dose margin from 50 to 10% Optical transmission Source availability Automation Collector protection Droplet generator reliability & lifetime Drive laser reliability

8kW 10W 45% 12kW 50W 30% 17% 10% 15kW 20kW 100W Laser Power (kw) EUV Power (W) Dose Overhead (%) 210W EUV power scaling through 2016 EUV power ~ CO 2 power * Conversion Efficiency * (1-Dose Overhead) Slide 29 CE=5.5% 200 180 160 CE=3.5% 140 120 100 CE=2.5% 80 60 CE=0.8% 40 20 0 NOMO and NXE:3100 drive laser MOPA+PP and NXE:3100 drive laser MOPA+PP and NXE:3300B Drive Laser MOPA+PP High Power Amplification Chain

Conversion efficiency: Optimizing pre-pulse to create a more efficient Target target expansion fills main pulse beam waist Slide 30 Prepulse (low energy) Mainpulse (high energy) Target shape changes from droplet to disk

Conversion efficiency (%) Increased conversion efficiency with Pre-pulse by optimization of target size, shape and density 7 Slide 31 6 5 4 3 2 1 0 no PP small disk large disk partial-cloud cloud EUV CE ~6% demonstrated on development platforms

Plasma scale length (Z) is the key to increase its volume Volume-distributed laser absorption enhances CO 2 laser deposition in plasma Schematic diagram of traditional LPP T e Z absorption ablation n Hydrodynamic simulation of CO 2 Sn LPP T e Z Slide 32 10+ laser n c EUV n e n i Corona Laser Laser, e, ions Energy transport Heat, radiation flow Mass flow SPIE 2016, 97760K-1, Michael Purvis Advances in predictive plasma formation modelling

Slide 33 12 Jan 2016 The Drive Laser, Droplet Generator And collector

Laser power (W) CO 2 laser power scaling to scale EUV power Efficient CO 2 laser pulse amplification Slide 34 Throughput, WPH 125 145 185 EUV power (W) 250 350 500 CO 2 lase power (kw) 27 30 40 3300 CO 2 drive laser 35000 30000 25000 20000 15000 10000 5000 0 0 50 100 150 200 250 Laser pulse duration (ns)

Droplet position, m Droplet Generator, Principle of Operation Tin is loaded in a vessel & heated above melting point Pressure applied by an inert gas Tin flows through a filter prior to the nozzle Tin jet is modulated by mechanical vibrations Slide 35 Sn Gas Filter Modulator Nozzle Pressure: 1005 psi Pressure: Frequency: 30 khz Frequency: 50 khz 140 m 50 m Diameter: 30 m 31 µm 10 5 0-5 Diameter: 37 µm Distance: 1357 µm Velocity: 40.7 m/s 1025 psi Distance: 821 µm Velocity: 41.1 m/s 16 m Pressure: 1025 psi Frequency: 500 khz Diameter: 14 µm Distance: 82 µm Velocity: 40.8 m/s -10 0 5 10 15 20 25 30 Time, sec Pressure: 1005 psi Frequency: 1706 khz Diameter: 9 µm Distance: 24 µm Velocity: 41.1 m/s Fig. 1. Images of tin droplets obtained with a 5.5 μm nozzle. The images on the left were obtained in frequency modulation regime; the image on the right with a simple sine wave signal. The images were taken at 300 mm distance from the nozzle. Short term droplet position stability σ~1 m

Forces on Droplets during EUV Generation Slide 36 High EUV power at high repetition rates drives requirements for higher speed droplets with large space between droplets

High Speed Droplet Generation Slide 37 Pressure (Speed) 3.5 MPa (26 m/s) 6.9 MPa (40 m/s) 13.8 MPa (58 m/s) 27.6 MPa (84 m/s) 41.4 MPa (104 m/s) 55.2 MPa (121 m/s) 1.5 mm Tin droplets at 80 khz and at different applied pressures. Images taken at a distance of 200 mm from the nozzle

EUV Collector: Normal Incidence Slide 38 Ellipsoidal design Plasma at first focus Power delivered to exposure tool at second focus (intermediate focus) 650 mm diameter Collection solid angle: 5 sterrad Average reflectivity: > 40% Wavelength matching across the entire collection area 5sr Normal Incidence Graded Multilayer Coated Collector

Collector Protection EUV collector Temperature controlled DG Hydrogen buffer gas causes deceleration of ions Hydrogen flow away from collector reduces atomic tin deposition rate Slide 39 H 2 flow Laser beam Sn droplet / plasma IF Reaction of H radicals with Sn to form SnH 4, which can be pumped away. Sn (s) + 4H (g) SnH 4 (g) Sn catcher Vessel with vacuum pumping to remove hot gas and tin vapor Internal hardware to collect micro particles

Collector Lifetime on NXE Slide 40-0.4%/Gp: observed at a customer About 4 months

250W feasibility proven without increase in protective Hydrogen flow No rapid collector contamination, allowing stable droplets and >125 w/hr@20 mj/cm² Slide 41 ~200W dose controlled power

Summary Significant progress in EUV power scaling, Slide 43 - CE is up to 6 % - Dose-controlled power is up to 210 W CO 2 developments support EUV power scaling, - Clean (spatial and temporal) amplification of short CO 2 laser pulse - High power seed-table enables CO 2 laser power scaling Significant progress made in Source Availability - >80% source availability in the field - >1000 hrs droplet generator runtime - >100 Gp collector lifetime

Acknowledgements: Slide 44