Copyright 2000 by the Society of Photo-Optical Instrumentation Engineers.

Similar documents
Copyright 2002 by the Society of Photo-Optical Instrumentation Engineers.

Reducing Proximity Effects in Optical Lithography

Copyright 1997 by the Society of Photo-Optical Instrumentation Engineers.

Using the Normalized Image Log-Slope, part 2

Optical Proximity Effects

Image Manipulation. Chris A. Mack Department of Defense Fort Meade, MD ABSTRACT

Illumination spectral width impacts on mask error enhancement factor and iso-dense bias in 0.6NA KrF imaging

Horizontal-Vertical (H-V) Bias

Lithography. 3 rd. lecture: introduction. Prof. Yosi Shacham-Diamand. Fall 2004

Line End Shortening. T h e L i t h o g r a p h y E x p e r t (Spring 2000) Chris A. Mack, FINLE Technologies, Austin, Texas

MICROCHIP MANUFACTURING by S. Wolf

Optical Proximity Effects, part 2

Optical Proximity Effects, part 3

More on the Mask Error Enhancement Factor

The Formation of an Aerial Image, part 2

What s So Hard About Lithography?

The Formation of an Aerial Image, part 3

Tutor43.doc; Version 8/15/03 T h e L i t h o g r a p h y E x p e r t (November 2003)

Immersion Lithography Micro-Objectives

OPTICAL LITHOGRAPHY INTO THE MILLENNIUM: SENSITIVITY TO ABERRATIONS, VIBRATION AND POLARIZATION

optical and photoresist effects

Copyright 2000 by the Society of Photo-Optical Instrumentation Engineers.

Simulation of coherent multiple imaging by means of pupil-plane filtering in optical microlithography

Synthesis of projection lithography for low k1 via interferometry

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. The lithographic process

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. EE143 Ali Javey Slide 5-1

Section 2: Lithography. Jaeger Chapter 2. EE143 Ali Javey Slide 5-1

Line End Shortening, part 2

A 193 nm deep-uv lithography system using a line-narrowed ArF excimer laser

Lithographic Performance of a New Generation i-line Optical System: A Comparative Analysis. Abstract

Optical Lithography. Here Is Why. Burn J. Lin SPIE PRESS. Bellingham, Washington USA

Optical Design Forms for DUV&VUV Microlithographic Processes

LITHOGRAPHIC LENS TESTING: ANALYSIS OF MEASURED AERIAL IMAGES, INTERFEROMETRIC DATA AND PHOTORESIST MEASUREMENTS

Copyright 1998 by the Society of Photo-Optical Instrumentation Engineers.

Analysis of Focus Errors in Lithography using Phase-Shift Monitors

Optical Requirements

Extending SMO into the lens pupil domain

EE-527: MicroFabrication

Resolution. T h e L i t h o g r a p h y E x p e r t (Winter 1997) Chris A. Mack, FINLE Technologies, Austin, Texas

Copyright 2000, Society of Photo-Optical Instrumentation Engineers This paper was published in Optical Microlithography XIII, Volume 4000 and is made

Optolith 2D Lithography Simulator

Photolithography II ( Part 2 )

Microlens formation using heavily dyed photoresist in a single step

Laser bandwidth effect on overlay budget and imaging for the 45 nm and 32nm technology nodes with immersion lithography

EE143 Fall 2016 Microfabrication Technologies. Lecture 3: Lithography Reading: Jaeger, Chap. 2

Key Photolithographic Outputs

Copyright 2004 by the Society of Photo-Optical Instrumentation Engineers.

1. INTRODUCTION ABSTRACT

A Comparison of ArF and KrF Laser Performance At 2kHz For Microlithography

High-resolution microlithography using a 193nm excimer laser source. Nadeem H. Rizvi, Dominic Ashworth, Julian S. Cashmore and Malcolm C.

High Resolution Microlithography Applications of Deep-UV Excimer Lasers

Mutually Optimizing Resolution Enhancement Techniques: Illumination, APSM, Assist Feature OPC, and Gray Bars

immersion optics Immersion Lithography with ASML HydroLith TWINSCAN System Modifications for Immersion Lithography by Bob Streefkerk

Performance data of a new 248 nm CD metrology tool proved on COG reticles and PSM s

OPC Rectification of Random Space Patterns in 193nm Lithography

MicroSpot FOCUSING OBJECTIVES

The Importance of Wavelengths on Optical Designs

Linewidth control by overexposure in laser lithography

Effect of Reticle CD Uniformity on Wafer CD Uniformity in the Presence of Scattering Bar Optical Proximity Correction

Depth of Focus, part 2

Wavelength Division Multiplexing of a Fibre Bragg Grating Sensor using Transmit-Reflect Detection System

Photolithography. References: Introduction to Microlithography Thompson, Willson & Bowder, 1994

Optimization of the Spatial Properties of Illumination for Improved Lithographic Response

Phase-Shift Mask Issues for 193 nm Lithography

16nm with 193nm Immersion Lithography and Double Exposure

Photolithography I ( Part 1 )

Part 5-1: Lithography

A Reliable Higher Power ArF Laser with Advanced Functionality for Immersion Lithography

Resist Process Window Characterization for the 45-nm Node Using an Interferometric Immersion microstepper

UV EXCIMER LASER BEAM HOMOGENIZATION FOR MICROMACHINING APPLICATIONS

Optical Microlithography XXVIII

Organic Antireflective Coatings for Photomask Fabrication using Optical Pattern Generators

Phase Contrast Lithography

Optical Projection Printing and Modeling

Lecture 5. Optical Lithography

Confocal Imaging Through Scattering Media with a Volume Holographic Filter

BEAM SHAPING OPTICS TO IMPROVE HOLOGRAPHIC AND INTERFEROMETRIC NANOMANUFACTURING TECHNIQUES Paper N405 ABSTRACT

1X Broadband Wafer Stepper for Bump and Wafer Level Chip Scale Packaging (CSP) Applications

CHIRPED FIBER BRAGG GRATING (CFBG) BY ETCHING TECHNIQUE FOR SIMULTANEOUS TEMPERATURE AND REFRACTIVE INDEX SENSING

Topography effects and wave aberrations in advanced PSM-technology

Process Optimization

TECHNICAL QUICK REFERENCE GUIDE MANUFACTURING CAPABILITIES GLASS PROPERTIES COATING CURVES REFERENCE MATERIALS

Major Fabrication Steps in MOS Process Flow

Optical Lithography. Keeho Kim Nano Team / R&D DongbuAnam Semi

Understanding focus effects in submicrometer optical lithography: a review

Bandpass Edge Dichroic Notch & More

Comparison of Scalar and Vector Diffraction Modelling for Deep-UV Lithography

Why is There a Black Dot when Defocus = 1λ?

Amphibian XIS: An Immersion Lithography Microstepper Platform

Optical lithography is the technique for

UV LED ILLUMINATION STEPPER OFFERS HIGH PERFORMANCE AND LOW COST OF OWNERSHIP

ADVANCED TECHNOLOGY FOR EXTENDING OPTICAL LITHOGRAPHY

OPC Scatterbars or Assist Features

Copyright 2000 Society of Photo Instrumentation Engineers.

Mirror-based pattern generation for maskless lithography

Experimental measurement of photoresist modulation curves

Refractive index homogeneity TWE effect on large aperture optical systems

Characteristics of point-focus Simultaneous Spatial and temporal Focusing (SSTF) as a two-photon excited fluorescence microscopy

PROCEEDINGS OF SPIE. Measurement of low-order aberrations with an autostigmatic microscope

RMS roughness: < 1.5Å on plane surfaces and about 2Å on smoothly bended spherical surfaces

Transcription:

Copyright by the Society of Photo-Optical Instrumentation Engineers. This paper was published in the proceedings of Optical Microlithography XIII, SPIE Vol. 4, pp. 658-664. It is made available as an electronic reprint with permission of SPIE. One print or electronic copy may be made for personal use only. Systematic or multiple reproduction, distribution to multiple locations via electronic or other means, duplication of any material in this paper for a fee or for commercial purposes, or modification of the content of the paper are prohibited.

Modeling the Effects of Excimer Laser Bandwidths on Lithographic Performance Armen Kroyan, Nigel Farrar, Joseph Bendik, Olivier Semprez, Chris Rowan, Chris A. Mack* Cymer Inc., 1675 Via Del Campo Court, San Diego, CA 917 * FINLE Technologies, Inc., 8834 N. Capital of Texas Hwy, Suite 31 Austin, Texas 78759 ABSTRACT In many respects, excimer lasers are almost ideal light sources for optical lithography applications. Their narrow bandwidth and high power provide two of the main characteristics required of a light source for high-resolution imaging. However, for deep-uv lithography projection tools with no chromatic aberration correction in the imaging lens, even the very narrow bandwidth of an excimer laser may lead to image degradation. This paper describes the assumptions and methodology used for modeling of the impact of laser bandwidth on the lithographic process. In particular, the chromatic aberrations of an imaging lens combined with real laser spectra are used to include the impact of laser bandwidth into the lithographic simulation model. The effect of the bandwidth on aerial image critical dimensions, depth of focus, and exposure latitude are investigated using PROLITH/ simulation software. Studies are performed for isolated and semi-isolated lines ranging in size from 4 nm to 14 nm. Simulation results show that the impact of the bandwidth is lithography process dependent. In general, increased laser bandwidth decreases both the aerial image contrast and log-slope. Also, larger bandwidths can result in the loss of exposure latitude. Keywords: excimer laser, bandwidth, chromatic aberrations, lithography simulation. 1. INTRODUCTION The limitations of acceptable optical lens materials at 48nm and 193nm wavelengths have meant that projection lenses for KrF and ArF lithography have been fabricated primarily with fused silica. Although fused silica is a very good lens material (high transparency, low thermal expansion, relatively easy to polish), the unavailability of a second material type with a different refractive index in projection lenses results in chromatic aberrations. Chromatic aberrations emerge since the index of refraction of any optical material changes with wavelength, and hence, the imaging behavior of a lens also varies with wavelength. Certain lens designs allow to partially correct for chromatic aberrations by building projection lenses with more than one optical material in such way that different variations

with wavelength can be made to counteract each other. However, if a lens is made with only one optical material such as fused silica, chromatic aberrations are inevitable. The detrimental effects of chromatic aberrations for an uncorrected lens can be mitigated only by using a light source with a very narrow range of wavelengths. Spectral line-narrowed excimer lasers have served this purpose for deep-uv lithography. Today s lasers have bandwidths in the subpicometer range, providing nearly monochromatic illumination for refractive projection lenses. Nevertheless, although eximer laser bandwidths are small, the lack of chromatic correction in lenses means that the bandwidth cannot be ignored.. SIMULATION METHODOLOGY AND ASSUMPTIONS Simulation of the effects of chromatic aberrations employs a technique similar to that proposed by Yan et al. [1]. The main effect of changing the exposure wavelength for a nonchromatic corrected lens is a change in the position of the focal plane. Over a fairly wide range of wavelengths, this change in focus is essentially linear with the change in the nominal wavelength (i.e., the central wavelength of the illumination spectrum). Yan reported a slope of.15 µm focus shift for a 1 pm shift in the illumination spectrum central wavelength [1] for a.4 NA deep-uv lens. Today s higher NA projection lenses have slopes close to twice this amount. The wavelength response of a lens can be determined experimentally by manually changing the central wavelength of the laser and using the imaging sensor of the stepper to monitor the shift in focus that results. Figure 1 shows an example of such a measurement. 3 Slope =.5 µm/pm NA =.6 KrF Fused Silica Lens Best Focus (microns) 1-1 - -3-15 -1-5 5 1 15 Wavelength Shift (pm) Figure 1. Measurement of best focus as a function of central wavelength shows a linear relationship with a slope of.5 µm/pm for this.6 NA projection lens.

Given the change in focus with change in wavelength, the use of a broadband illumination spectrum means that each wavelength in the spectrum will produce an aerial image with a different best focus. The total aerial image will be a sum of the aerial images at each focal position, weighted by the relative intensity of each wavelength in the illumination spectrum. The behavior of this chromatic aberration in a way resembles the FLEX technique, which is based on multiple focal plane exposures []. Latest versions of PROLITH/ [3] incorporate these types of effects plus any other impact of chromatic aberration using a more general aberration-based image averaging scheme. At each wavelength in the laser spectrum a 36 term Zernike polynomial can be defined. Since different wavelengths can affect imaging performance in a variety of ways, the individual Zernike coefficients can be changed as a function of wavelength in a very general, arbitrary way. As an example, the response of wavelength as a focus shift can be modeled using the third fringe Zernike polynomial term (see reference 4 for a complete description of the Zernike polynomial used here). The coefficient of this Zernike term Z 3 can be related to a focus shift δ by NA NA Z3 = δ = ( slope) λ 4λ 4λ o o (1) where λ is the central wavelength of the illumination spectrum. Thus, if the focus shift as a function of wavelength is known, a value of Z 3 for each wavelength in the illumination spectrum can be computed from the equation (1). For simulation purposes, different actual laser spectra measured on a variety of commercially available Cymer lasers were used. In this work the full-width-at-half-maximum (FWHM) definition of the bandwidth is used to characterize laser spectra. Figure illustrates examples of different KrF laser spectra: Normalized Intensity 1.9.35 pm FWHM.8.7 pm FWHM.7 1. pm FWHM.6.5 FWHM.4.3..1 48.33 48.35 48.37 48.39 48.331 Wavelength (pm) Figure. Examples of different KrF excimer laser spectra.

3. GENERAL SIMULATION RESULTS In order to understand the impact of laser bandwidth on the lithographic process in the presence of chromatic aberrations, we started from investigation of the aerial image of a 18 nm isolated line. Figure 3 shows how increasing bandwidth degrades the aerial image. For these simulations the following input parameters were used: NA =.6, σ =.75, λ = 48.371 nm. Laser spectra with.5 pm, 1. pm,.1 pm bandwidths at FWHM and a monochromatic light source were used in this simulation study, and a chromatic aberration focus response of.5 µm/pm was assumed. 1. 1 Aerial Image Intensity.8.6.4. -4-3 - -1 1 3 4 Horizontal Position (nm) Monochromatic Bandwidth (FWHM) =.5 pm Bandwidth (FWHM) = 1. pm Bandwidth (FWHM) =.1 pm Figure 3. Degradation of the aerial image of a 18 nm line (5 nm pitch) with increasing laser bandwidth for a chromatic aberration response of.5 µm/pm. As can be seen in Figure 3, increasing bandwidth causes noticeable image degradation. For the conditions and the feature size used here, FWHM bandwidths above 1. pm show significant loss of aerial image contrast and log-slope. The impact of laser bandwidths on critical dimension (CD) variations of isolated lines with different sizes was evaluated using an aerial image threshold model. In this study the following input parameter settings were used: σ =.75, λ = 48.371 nm, aerial image threshold at 3%, NA =.6,.7, and.8. The simulations were performed for isolated lines ranging from 4 nm to 14 nm. The chromatic aberration response was assumed at.5 µm/pm. As shown in Figure 4, increased laser bandwidth results in greater CD change of isolated lines with respect to the monochromatic case. Also it can be noticed that laser bandwidth effects increase with larger projection lens NAs and smaller feature sizes.

CD % Change 18 16 14 1 1 8 6 4 NA =.6 FWHM =.35pm FWHM =.7pm FWHM = 1.pm 1 14 16 18 4 6 Mask (nm) a) CD % Change 18 16 14 1 1 8 6 4 NA =.7 1 14 16 18 4 6 Mask (nm) FWHM =.35pm FWHM =.7pm FWHM = 1.pm b) CD % Change 18 16 14 1 1 8 6 4 NA =.8 1 14 16 18 4 6 Mask (nm) FWHM =.35pm FWHM =.7pm FWHM = 1.pm c) Figure 4. Impact of laser bandwidth on aerial image CD change (%) of isolated lines with respect to the monochromatic illumination for different lens NAs: a) NA =.6; b) NA =.7; and c) NA =.8.

Laser bandwidth can also affect the focus-exposure process window. As introduced by the previous considerations, the process window is modified according to the amount of chromatic aberration and width of the spectrum. The simulations shown in Figure 5 assume a.18 µm process patterned with UV5 photoresist on ARC DUV18 anti-reflective coating. Exposure Latitude (%) 15 1 5 FWHM = pm FWHM =.35pm FWHM =.7pm FWHM = 1.pm FWHM =.1pm FWHM = 3.3pm..4.6.8 1 1. 1.4 DOF (microns) Figure 5. Sensitivity of the focus-exposure process window to laser bandwidth. Numerical aperture of the lens is set at.6 and partial coherence factor σ at.75. Exposure latitude is defined as the range of exposure energies that keeps the linewidth within ±1% from the nominal size over the focus range specified. Depth of focus is the range of focus in which features print within ±1% from the nominal size over the specified exposure range. For a given process, Figure 5 demonstrates how the process windows are greatly improved with tighter bandwidth light sources. Reduction of the FWHM bandwidth below.7 pm still shows the benefits to the process by improving the exposure latitude at a given depth of focus. Interestingly, increased chromatic aberrations have a similar effect on the lithographic process window as increased amounts of spherical aberration [5]. 4. CONCLUSIONS The effects of laser bandwidths on the lithographic process can be evaluated using computer simulations. The bandwidth simulation model described in this paper utilizes PROLITH/. The results show that larger bandwidths reduce the aerial image contrast and loge-slope of isolated lines. Such effects can lead to CD variations and reduction of process latitude, especially when shrinking feature sizes and increasing projection lens NAs. The biggest impact of larger bandwidth is loss of exposure latitude.

REFERENCES 1. P. Yan, Q. Qian, J. Langston, and P. Leon, Effects of chromatic aberration in excimer laser lithography, Optical/Laser Microlithography V, Proc. SPIE, Vol. 1674, 199, pp. 316-37.. H. Fukuda, N. Hasegawa, and S. Okazaki, Improvement of defocus tolerance in a half-micron optical lithography by the focus latitude enhancement exposure method: simulation and experiment, J. Vac. Sci. Technol. B 7 (4), Jul/Aug 1989, pp. 667-674. 3. FINLE Technologies, Inc., Austin, TX. 4. C. A. Mack, Inside PROLITH: A Comprehensive Guide to Optical Lithography Simulation, FINLE Technologies, Austin, TX, 1997. 5. K. Matsumoto and T. Tsuruta, Issues and methods of designing lenses for optical lithography, Opt. Engineering, Vol. 31, No. 1, Dec., 199, pp. 657-664.