Basic Principles, Challenges and Opportunities of STT-MRAM for Embedded Memory Applications

Similar documents
Status and Prospect for MRAM Technology

A novel sensing algorithm for Spin-Transfer-Torque magnetic RAM (STT-MRAM) by utilizing dynamic reference

Magnetic Spin Devices: 7 Years From Lab To Product. Jim Daughton, NVE Corporation. Symposium X, MRS 2004 Fall Meeting

An 8-bit Analog-to-Digital Converter based on the Voltage-Dependent Switching Probability of a Magnetic Tunnel Junction

Lecture #29. Moore s Law

A REVIEW ON MAGNETIC TUNNEL JUNCTION TECHNOLOGY

MAGNETORESISTIVE random access memory

S1. Current-induced switching in the magnetic tunnel junction.

Quantitative evaluation of reliability and performance for STT-MRAM

IBM Research Report. Research Division Almaden - Austin - Beijing - Cambridge - Haifa - India - T. J. Watson - Tokyo - Zurich

SUPPLEMENTARY INFORMATION

VARIATION MONITOR-ASSISTED ADAPTIVE MRAM WRITE

Highly Reliable Memory-based Physical Unclonable Function Using Spin-Transfer Torque MRAM

STT-MRAM Read-circuit with Improved Offset Cancellation

MTJ Variation Monitor-assisted Adaptive MRAM Write

Mayank Chakraverty and Harish M Kittur. VIT University, Vellore, India,

Semiconductor Memory: DRAM and SRAM. Department of Electrical and Computer Engineering, National University of Singapore

Magnetic tunnel junction sensor development for industrial applications

Tunneling Magnetoresistance Devices with MgO barrier and CoFeB electrodes for Magnetic. Field

Application Note Model 765 Pulse Generator for Semiconductor Applications

In pursuit of high-density storage class memory

A Spin-Torque Transfer MRAM in 90nm CMOS. Hui William Song

40nm Node CMOS Platform UX8

A Low-Power Robust Easily Cascaded PentaMTJ-Based Combinational and Sequential Circuits Mohit Kumar Gupta and Mohd Hasan, Senior Member, IEEE

A Scaling Roadmap and Performance Evaluation of In-Plane and Perpendicular MTJ Based STT-MRAMs for High-Density Cache Memory

COMMERCIAL APPLICATIONS OF SPINTRONICS TECHNOLOGY

Breaking Through Impenetrable Barriers

HfO 2 Based Resistive Switching Non-Volatile Memory (RRAM) and Its Potential for Embedded Applications

64 Kb logic RRAM chip resisting physical and side-channel attacks for encryption keys storage

Variation-tolerant Non-volatile Ternary Content Addressable Memory with Magnetic Tunnel Junction

Sensing Circuits for Resistive Memory

MgO MTJ biosensors for immunomagnetic lateralflow

Energy-efficient neuromorphic computing with magnetic tunnel junctions

US A1 (19) United States (12) Patent Application Publication (10) Pub. N0.: US 2013/ A1 Zhou et a]. (43) Pub. Date: Aug.

450mm and Moore s Law Advanced Packaging Challenges and the Impact of 3D

MTJ based Random Number Generation and Analog-to-Digital Conversion Chris H. Kim University of Minnesota

Atomic-layer deposition of ultrathin gate dielectrics and Si new functional devices

Magnetic tunnel junction sensors with conetic alloy. Lei, ZQ; Li, GJ; Egelhoff Jr, WF; Lai, PT; Pong, PWT

Network Analyzer Measurements of Spin Transfer Torques in Magnetic Tunnel. Junctions

Performance of a Resistance-To-Voltage Read Circuit for Sensing Magnetic Tunnel Junctions

Memory (Part 1) RAM memory

A Novel Technique to Reduce Write Delay of SRAM Architectures

Broadband voltage rectifier induced by linear bias dependence in CoFeB/MgO magnetic tunnel junctions

Reliable Sub-Nanosecond Switching of a Perpendicular SOT-MRAM Cell without External Magnetic Field

Mohammad Kazemi, Student Member, IEEE, Engin Ipek, Member, IEEE, andebyg.friedman,fellow, IEEE

Lecture 6: Electronics Beyond the Logic Switches Xufeng Kou School of Information Science and Technology ShanghaiTech University

Supplementary Figure 1 High-resolution transmission electron micrograph of the

Fabrication and Characterization of Emerging Nanoscale Memory

Spin-torque devices for Information-CommunicationTechnology

Future Trend in Memory Device. Cho Jeong Ho SK hynix

MRAM. By Jeff Hanna. Table of Contents

A study of using STT-MRAM as Memory PUF: Design, Modeling and. Quality Evaluation

An Overview of Non-Volatile Memory Technology and the Implication for Tools and Architectures

Performance of Low Power SRAM Cells On SNM and Power Dissipation

Efficient Characterization and Testing of MRAM Devices. Parametric Testing for In-Line Monitoring

On the Restore Operation in MTJ-Based Nonvolatile SRAM Cells

Energy-Performance Characterization of CMOS/Magnetic Tunnel Junction (MTJ) Hybrid Logic Circuits

Contribution of Gate Induced Drain Leakage to Overall Leakage and Yield Loss in Digital submicron VLSI Circuits

Magnetic and Electromagnetic Microsystems. 4. Example: magnetic read/write head

The future of lithography and its impact on design

BCD Smart Power Roadmap Trends and Challenges. Giuseppe Croce NEREID WORKSHOP Smart Energy Bertinoro, October 20 th

Core Circuit Technologies for PN-Diode-Cell PRAM

FinFET-based Design for Robust Nanoscale SRAM

Low Transistor Variability The Key to Energy Efficient ICs

Body-Biased Complementary Logic Implemented Using AlN Piezoelectric MEMS Switches

Market and technology trends in advanced packaging

A STATISTICAL STT-RAM DESIGN VIEW AND ROBUST DESIGNS AT SCALED TECHNOLOGIES

3. COMPARING STRUCTURE OF SINGLE GATE AND DOUBLE GATE MOSFET WITH DESIGN AND CURVE

ECE 5745 Complex Digital ASIC Design Topic 2: CMOS Devices

CMOL: Hybrid of CMOS with Overlaid Nanogrid and Nanodevice Structure. John Zacharkow

Variation-resilient True Random Number Generators based on Magnetic Tunnel Junctions

Integrated On-Chip Inductors using Magnetic Films Donald S. Gardner, Gerhard Schrom,

Low-Power VLSI. Seong-Ook Jung VLSI SYSTEM LAB, YONSEI University School of Electrical & Electronic Engineering

A Differential 2R Crosspoint RRAM Array with Zero Standby Current

Study the Analysis of Low power and High speed CMOS Logic Circuits in 90nm Technology

Intel Demonstrates High-k + Metal Gate Transistor Breakthrough on 45 nm Microprocessors

Effect of W/L Ratio on SRAM Cell SNM for High-Speed Application

Three-Axis Magnetic Sensor HMC1043L

EE4800 CMOS Digital IC Design & Analysis. Lecture 1 Introduction Zhuo Feng

III-V on Si for VLSI. 200 mm III-V on Si. Accelerating the next technology revolution. III-V nfet on 200 mm Si

HOW TO CONTINUE COST SCALING. Hans Lebon

Deep Trench Capacitors for Switched Capacitor Voltage Converters

Innovation to Advance Moore s Law Requires Core Technology Revolution

Non-Volatile Memory Based on Solid Electrolytes

Towards a Reconfigurable Nanocomputer Platform

Advanced Digital Integrated Circuits. Lecture 2: Scaling Trends. Announcements. No office hour next Monday. Extra office hour Tuesday 2-3pm

Behavioural model of Spin Torque Transfer Magnetic Tunnel Junction, Using Verilog-A

DATE 2016 Early Reliability Modeling for Aging and Variability in Silicon System (ERMAVSS Workshop)

FinFET Devices and Technologies

A Nondestructive Self-Reference Scheme for Spin- Transfer Torque Random Access Memory (STT-RAM)

Logic Technology Development, *QRE, ** TCAD Intel Corporation

45nm Bulk CMOS Within-Die Variations. Courtesy of C. Spanos (UC Berkeley) Lecture 11. Process-induced Variability I: Random

Model 765 Fast Rise Time Pulse Generator

Design and Evaluation of two MTJ-Based Content Addressable Non-Volatile Memory Cells

TLE4916-1K. Datasheet. Sense & Control. Low Power Automotive Hall Switch. Rev.1.0,

Low Power 256K MRAM Design

Wafer-scale 3D integration of silicon-on-insulator RF amplifiers

Compact size 3D magnetometer based on magnetoresistive sensors

PCM progress report no. 7: A look at Samsung's 8-Gb array

NANOELECTRONIC TECHNOLOGY: CHALLENGES IN THE 21st CENTURY

Transcription:

Basic Principles, Challenges and Opportunities of STT-MRAM for Embedded Memory Applications Luc Thomas TDK- Headway Technologies, 463 S. Milpitas Boulevard, Milpitas CA 95035, USA

MRAM Team at TDK - Headway Tech. Guenole Jan, Son Le, Santiago Serrano-Guisan Yuan-Jen Lee, Huanlong Liu, Jian Zhu, Jodi Iwata-Harms, Ru-Ying Tong, Sahil Patel, Vignesh Sundar, Dongna Shen, Yi Yang, Renren He, Jesmin Haq, Jeffrey Teng, Vinh Lam, Paul Liu, Yu-Jen Wang, Tom Zhong, and Po-Kang Wang.

Magnetic Random Access Memories More than 20 years ago: Field-MRAM 1 st research program: IBM / Motorola (1995) 1 st product: Freescale / Everspin (2006) From S. Parkin and K. Roche IBM

60 years ago: TDK first foray in MRAM technology TDK s 18x24 bit Magnetic Core Memory Source: columbia.edu/cu/computinghistory/core.html Source: wikipedia.org/wiki/magnetic-core_memory MRAM was the predominant computer memory from the 50 s to the 70 s

Outline Basic principles of STT-MRAM STT-MRAM integration STT-MRAM in emerging memory landscape

Magnetic Tunnel Junction (MTJ) device Two ferromagnetic electrodes separated by a thin MgO tunnel barrier Tunnel Magnetoresistance (TMR): device resistance depends on the relative orientation of the magnetization of the two magnetic electrodes From S. Parkin and K. Roche IBM Yuasa et al. (AIST) Nature Materials2004

Magnetic Tunnel Junction (MTJ) device Two ferromagnetic electrodes separated by a thin MgO tunnel barrier Tunnel Magnetoresistance (TMR): device resistance depends on the relative orientation of the magnetization of the two magnetic electrodes Reproduced from website of MultiDimension Technology Co.,Ltd. Yuasa et al. (AIST) Nature Materials2004

Perpendicular Magnetic Anisotropy (PMA) MTJ PMA is needed for data retention scaling and writing efficiency PMA is based on interfacial anisotropy between MgO and CoFeB Ikeda et al., Nature Mat. 2011, Worledge et al., APL 2012) Free layer sandwiched between to MgO interfaces for the free layer for enhanced anisotropy and data retention Dual reference layer for reducing dipolar fields and enhanced stability Free Layer Pinned Layer 1 Ikeda et al., IEDM2014 Pinned Layer 2

High data retention in PMA-MTJs Developed a MTJ stack of high PMA and thermal stability to satisfy solder reflow requirement of 260ºC for 90 seconds (2016 VLSI TSMC/TDK) Method of projecting error rate from chip level data in ppm regime 1ppm 10 years retention at 225ºC

Resistance vs magnetic field hysteresis loops AP state 9000 R (Ohms) 8000 7000 6000 5000 P state Magnetic field 4000 3000-8 -6-4 -2 0 2 4 6 8 H (koe) Two well-defined resistance states depending on orientation of magnetic electrodes

Reading with Tunnel Magnetoresistance Read operation by probing the resistance of the device at low voltage bias True Binary device: no resistance drift of the 2 resistance state even after repeated cycling at maximum drive current Resistance High R state 1 Low R state 0 After endurance test 100k devices Current Before endurance test

Writing with Spin-Transfer Torque Transfer of spin-angular momentum from polarized conduction electrons to electrodes magnetization Resistance 2 Read: Tunnel Magnetoresistance 1 1 Reproduced from Quantumwise.com Phenomenon discovered in 1996 by two theoreticians: John Slonczewski (IBM) Luc Berger (Carnegie Mellon) Write: Spin Transfer Torque 3 4 3 Voltage 2 4 electron flow electron flow

Trade-offs of STT writing Switching Current scales with area (constant current density) - smaller device -> smaller current requirement Current inversely proportional to pulse width - faster -> higher current requirement Normalized Voltage (a.u.) 1 0-1 Write 1 Write 0 10ns 10us 10ms Pulse Length

Trade-offs of STT writing (cont d) Write current scales with energy barrier for data retention Energy barrier: E B ~ K u V Write current: I c0 = (4e/ħ) (α/p) E B STT efficiency: E B /I c0 ~ 1-2 in k B T/µA Writing is probabilistic STT vanishes for parallel alignment of PL and FL Switching time inversely proportional to angle between PL and FL Thermal fluctuations provide initial kick 1 0.5 PMA_Ms1200_K=1e7_60x60x2_c2_a=0v01_Pz=pos10d_I=500uA Mx(ave) My(ave) Mz(ave) Mx(ave) 0-0.5-1 0 1000 2000 3000 4000 5000 Time(ps)

Outline Basic principles of STT-MRAM STT-MRAM integration STT-MRAM in emerging memory landscape

Integration of 8 Mb test chips at TDK - Headway 8Mbits (16x512k) 1T-1MTJ IBM s 90nm CMOS technology 50F 2 cell size Sense Amplifiers for reading Redundancy and 2bit ECC FEOL in IBM foundry BEOL in TDK-Headway s fab BLT WL Access Transistor BLC

STT MRAM process integration MRAM only add three additional layers (MTJ and electrodes) to standard CMOS BEOL: 3 to 4 mask adder MTJ stack is about 20 nm thick, can be easily integrated into CMOS backend process

Defect rate of 8 Mb chip Distribution of device current in the P state Quantile plot Log scale 1 ppm read current (a.u.) read current (a.u.) less than 0.4 ppm defect rate

400C annealing after MTJ patterning 400C BEOL process can add up to several hours, depending on how many metal layers on top of MTJ Elemental movements and morphology changes can degrade anisotropy, exchange coupling, and defect level - selection of materials, diffusion barrier and interface/growth quality - Thorough engineering needed for electrodes, film stack, process, encapsulation 2.5 hours @400ºC after MTJ etching Diameter ~ 30 nm (electrical) DRR = 175% RA of 8.5 Ω-µm 2 H C = 3300 Oe with no offset

Error free writing in chip level (TDK VLSI2014 & 2016) Error free writing on 8 Mb chips without ECC Down to 6 ns write pulse While keep data retention to 142ºC for 10 years 1ppm @ 142ºC for 10 years eff Temperature (ºC)

Temperature dependence (TDK VLSI2014) Fast operation down to 4.5 ns demonstrated over wide temperature range -25 C 0 C 25 C 55 C 85 C 125 C No ECC No Error 4.5 10 4.5 10 4.5 10 4.5 10 4.5 10 4.5 10 2 bit ECC 4.5 10 4.5 10 4.5 10 4.5 10 4.5 10 4.5 10

Outline Basic principles of STT-MRAM STT-MRAM integration STT-MRAM in emerging memory landscape

STT-MRAM vs other memory technologies

STT-MRAM requirements Critical requirements depend on application from S.H Kang, Qualcomm (Proc. VLSI 2014)

STT-MRAM Challenge Cost is directly related to density & cell/chip size Current available scales with transistor size - Standalone DRAM : GB chips, cell size ~4F 2 F smallest feature at technology node (28,20,14/16nm, ) MTJ < 20 nm Write current < 20 µa TMR ~ 300% - Embedded Flash / DRAM : cell size ~40-50F 2 MTJ ~ 40-100 nm Write current > 100 µa TMR > 100% Kent & Worledge, Nature Nano (2015)

Embedded STT-MRAM is cheaper and better! Lower cost Similar or Smaller bit cell size Very few added mask layers Does not interfere with CMOS transistor performances (as a add-on in the backend metal layers) Almost universal memory Combines non-volatility, high speed, and infinite endurance Can replace eflash, edram, and last-level cache (LLC) SRAM Efficient system architectures, without moving data between code storage, and working memory, and data storage Higher energy efficiency (longer battery life) mobile and IoT applications have low duty cycles and need fast wake-up and low standby power

6-Transistor SRAM scaling challenge 22nm to 10 nm node: - Expected area scaling: 4.8X - Actual scaling: ~ 2X 400F 2 at 10nm vs 52F 2 at 40nm Samsung VLSI 2016 Complex design limits scaling Dramatic increase of the area occupied by memory vs logic in performance SoC and CPU s

Opportunity for emram as Last Level Cache Compact design 1T-1MTJ 8 Mb written without error with 1.5 ns write pulse NO ECC Voltage (a.u) 2.3ns 1.8ns 1.5ns TDK VLSI 2016 1/ Pulse width

Summary STT-MRAM combine low write current, data retention and write speed, and is compatible with BEOL processes. Working chips have been demonstrated MTJ device can be tailored to specific applications that require data retention or speed, Great opportunity for embedded applications from eflash to SRAM replacement (both Samsung and TSMC have announced production) Many challenges remain: writing efficiency, read margin (TMR), process control (tight pitch, uniformity),

1970: Magnetic memories lose the war to Silicon 2017: year of the comeback for MRAM? Circa 1970 Intel corporation - Computer history museum