EUV lithography: today and tomorrow

Similar documents
EUVL Scanners Operational at Chipmakers. Skip Miller Semicon West 2011

EUV lithography: status, future requirements and challenges

EUVL getting ready for volume introduction

EUV: Status and Challenges Ahead International Workshop on EUVL, Maui 2010

Discovering Electrical & Computer Engineering. Carmen S. Menoni Professor Week 3 armain.

Status and challenges of EUV Lithography

Spring of EUVL: SPIE 2012 AL EUVL Conference Review

Holistic View of Lithography for Double Patterning. Skip Miller ASML

Reliable High Power EUV Source Technology for HVM: LPP or DPP? Vivek Bakshi, Ph.D. EUV Litho, Inc.

EUV Light Source The Path to HVM Scalability in Practice

Bank of America Merrill Lynch Taiwan, Technology and Beyond Conference

EUV Supporting Moore s Law

Imaging for the next decade

TWINSCAN XT:1950i Water-based immersion taken to the max Enabling fast, single-exposure lithography at sub 40 nm

Nikon EUVL Development Progress Update

TSMC Property. EUV Lithography. The March toward HVM. Anthony Yen. 9 September TSMC, Ltd

Tin LDP Source Collector Module (SoCoMo) ready for integration into Beta scanner ABSTRACT Keywords : 1. INTRODUCTION

High-NA EUV lithography enabling Moore s law in the next decade

2008 European EUVL. EUV activities the EUVL shop future plans. Rob Hartman

EUVL Exposure Tools for HVM: It s Under (and About) Control

EUV Lithography Transition from Research to Commercialization

Optics for EUV Lithography

1 st /2nd generation Laser-Produced Plasma source system for HVM EUV lithography

Newer process technology (since 1999) includes :

22nm node imaging and beyond: a comparison of EUV and ArFi double patterning

EUV Source for High Volume Manufacturing: Performance at 250 W and Key Technologies for Power Scaling

Laser-Produced Sn-plasma for Highvolume Manufacturing EUV Lithography

R&D Status and Key Technical and Implementation Challenges for EUV HVM

Japan Update. EUVA (Extreme Ultraviolet Lithography System Development Association) Koichi Toyoda. SOURCE TWG 2 March, 2005 San Jose

GIGAPHOTON INTRODUCTION

Mask Technology Development in Extreme-Ultraviolet Lithography

Laser Produced Plasma Light Source for HVM-EUVL

The future of EUVL. Outline. by Winfried Kaiser, Udo Dinger, Peter Kuerz, Martin Lowisch, Hans-Juergen Mann, Stefan Muellender,

Leadership Through Innovation Litho for the future

DUV. Matthew McLaren Vice President Program Management, DUV. 24 November 2014

Lithography Roadmap. without immersion lithography. Node Half pitch. 248nm. 193nm. 157nm EUVL. 3-year cycle: 2-year cycle: imec 2005

Development Status of EUV Sources for Use in Alpha-, Beta- and High Volume Chip Manufacturing Tools

Advanced Patterning Techniques for 22nm HP and beyond

Light Source Technology Advances to Support Process Stability and Performance Predictability for ArF Immersion Double Patterning

PROCEEDINGS OF SPIE. LPP-EUV light source for HVM lithography. T. Saito, Y. Ueno, T. Yabu, A. Kurosawa, S. Nagai, et al.

ASML, Brion and Computational Lithography. Neal Callan 15 October 2008, Veldhoven

Enabling Semiconductor Innovation and Growth

Light Sources for EUV Mask Metrology. Heiko Feldmann, Ulrich Müller

Scope and Limit of Lithography to the End of Moore s Law

EUV Source Workshop. Organization Committee. Agora 2, World Trade Center Barcelona, Spain, October 19, 2006

LPP EUV Source Development and HVM I Productization

Present Status and Future Prospects of EUV Lithography

Scaling of Semiconductor Integrated Circuits and EUV Lithography

EUVL Activities in China. Xiangzhao Wang Shanghai Inst. Of Opt. and Fine Mech. Of CAS. (SIOM) Shanghai, China.

Multiple Patterning for Immersion Extension and EUV Insertion. Chris Bencher Distinguished Member of Technical Staff Applied Materials CTO group

S26 Basic research on 6.x nm EUV generation by laser produced plasma

Metrology in the context of holistic Lithography

Competitive in Mainstream Products

(Complementary E-Beam Lithography)

PUSHING LITHOGRAPHY TO ENABLE ULTIMATE NANO-ELECTRONICS. LUC VAN DEN HOVE President & CEO imec

The future of lithography and its impact on design

Computational Lithography Requirements & Challenges for Mask Making. Naoya Hayashi, Dai Nippon Printing Co., Ltd

Progress towards Actinic Patterned Mask Inspection. Oleg Khodykin

NXE: 3300B qualified to support customer product development

Optical Microlithography XXVIII

EUV Resist Materials and Process for 16 nm Half Pitch and Beyond

Facing Moore s Law with Model-Driven R&D

Towards an affordable Cost of Ownership for EUVL. Melissa Shell Principal Engineer & Program Manager, EUVL Research Components Research October 2006

Benefit of ArF immersion lithography in 55 nm logic device manufacturing

Optical Lithography. Keeho Kim Nano Team / R&D DongbuAnam Semi

Closed Loop Registration Control (RegC ) Using PROVE as the Data Source for the RegC Process

Imec pushes the limits of EUV lithography single exposure for future logic and memory

Short wavelength light source for semiconductor manufacturing: Challenge from excimer laser to LPP-EUV light source

Shooting for the 22nm Lithography Goal with the. Coat/Develop Track. SOKUDO Lithography Breakfast Forum 2010 July 14 (L1)

EUVL Activities in China

EUVL: Challenges to Manufacturing Insertion

EUVL Activities in China

IMEC update. A.M. Goethals. IMEC, Leuven, Belgium

A Closer Look at ASML. September 26-27, 2002

EUVL: Challenges to Manufacturing Insertion

450mm and Moore s Law Advanced Packaging Challenges and the Impact of 3D

Advanced Digital Integrated Circuits. Lecture 2: Scaling Trends. Announcements. No office hour next Monday. Extra office hour Tuesday 2-3pm

2009 International Workshop on EUV Lithography

Multilayer Collector Optics for Water Window Microscopy

Fiber Lasers for EUV Lithography

Light Sources for High Volume Metrology and Inspection Applications

Optical Maskless Lithography (OML) Project Status

Update on 193nm immersion exposure tool

LPP collector mirrors coating, metrology and refurbishment

Progress in full field EUV lithography program at IMEC

2014 International Workshop on EUV Lithography

Challenges of EUV masks and preliminary evaluation

Akira Miyake, Chidane Ouchi, International EUVL Symposium, October , Kobe Slide 1

1 st generation Laser-Produced Plasma source system for HVM EUV lithography

Beyond Immersion Patterning Enablers for the Next Decade

CO 2 / Sn LPP EUV Sources for device development and HVM

Power scaling of picosecond thin disc laser for LPP and FEL EUV sources

Public. Introduction to ASML. Ron Kool. SVP Corporate Strategy and Marketing. March-2015 Veldhoven

Novel EUV Resist Development for Sub-14nm Half Pitch

Jung Sik Kim, Seongchul Hong, Jae Uk Lee, Seung Min Lee, and Jinho Ahn*

Actinic Review of EUV Masks: Performance Data and Status of the AIMS TM EUV System

Technological Challenges in Semiconductor Lithography

Bridging the Gap Between Tools & Applications

Impact of 3-D Mask Effects on CD and Overlay over Image Field in Extreme Ultraviolet Lithography

5 th Annual ebeam Initiative Luncheon SPIE February 26, Aki Fujimura CEO D2S, Inc. Managing Company Sponsor ebeam Initiative

Transcription:

EUV lithography: today and tomorrow Vadim Banine, Stuart Young, Roel Moors Dublin, October 2012

Resolution/half pitch, "Shrink" [nm] EUV DPT ArFi ArF KrF Industry roadmap towards < 10 nm resolution Lithography supports shrink roadmap 200 Logic 13.7% Logic / SRAM 100 NAND 18.5% 80 60 50 AT:1200 XT:1400 XT:1700i DRAM 14.4% 6 Transistor SRAM Cell k 1 0.40 ~ 0.44 40 XT:1900i 30 DRAM NAND Flash NXT:1950i 20 NXE:3100 NXE:3300 10 8 k 1 0.30 ~ 0.35 02 03 04 05 06 07 08 09 10 11 12 13 14 15 16 17 18 19 20 * Note: Process development 1.5 ~ 2 years in advance updated 8/11 k 1 0.27 ~ 0.30 Year of production start* Date / Customer / Slide 2

EUV enables 14nm node with large UDOF 14nm node ARM M1 clip without OPC, 46nm minimum pitch, exposed on an NXE:3300B with conventional illumination EUV ArFi Single exposure Double patterning (LELE) Best HV focus difference <10nm up to 60nm Usable depth of focus >100nm 50nm Date / Customer / Slide 3

Large process windows measured on the 3100 Down to 14nm node SRAM M1 layer EUV: 20nm node Single exposure EUV: 14nm node Single exposure ArFi: 20nm node Double exposure Date / Customer / Slide 4

The NXE:3100 has exposed >23000 wafers Increasing output per quarter Date / Customer / Slide 5

NXE:3100: consistent good overlay on all tools Single Chuck Overlay less than ~2nm 5.0 4.5 4.0 3.5 3.0 2.5 2.0 1.5 1.0 0.5 0.0 Date / Customer / Slide 6 A B C D E F All numbers are (X,Y) SCO results using ASML standard test method SCO = single chuck overlay X Y

NXE:3100: consistent good overlay on all tools Matched Machine Overlay ~6 nm 10.0 8.0 10.0 10.0 10.0 A B C D E F 8.0 8.0 8.0 8.0 8.0 10.0 10.0 6.0 6.0 6.0 6.0 6.0 6.0 4.0 4.0 4.0 4.0 4.0 4.0 2.0 2.0 2.0 2.0 2.0 2.0 0.0 0.0 0.0 0.0 0.0 0.0 Overlay X-axis Overlay Y-axis Date / Customer / Slide 7 All numbers are (X,Y) matched machine overlay results to an ArF reference wafer using ASML standard test method

EUV NXE:3100 NA=0.25 ArFi NXT:1950i NA=1.35 Dense CH imaging down to 26nm on NXE:3100 55nm CHs Single exposure, quasar Positive tone developer 40nm CHs Double dipole exposure Negative tone developer 40nm CHs Single Exposure (Conventional) 26nm CHs Single Exposure (Quasar) 55nm 40nm 26nm CH size and half pitch See presentation Eelco van Setten (ASML) Date / Customer / Slide 8

Single exposure 14nm node metal 1 features Focus Good printing performance through a focus range of ~100nm for 14nm node 34nm ARM M1 clip (46nm min. pitch) Good printing performance for 14nm node Metal clip (44nm min. pitch) through a focus range of ~120nm Date / Customer / Slide 9

NXE:3300B integration status today 7 machines in buildup Development tool Shipment tool Source setup Shipment tool Availability testing Shipment tool Reliability testing Shipment tool Reliability testing Shipment tool Ongoing buildup Shipment tool Ongoing buildup Date / Customer / Slide 10 Shipment tool Ongoing buildup

Source Machine is ready for production Source has still way to go Current source performance is ~>10 W vs required for NXE 3300 of 100-250 W Progress is on the way (REFERENCE TO LAST CYMER AND DPP) But. We can not stop at 250 W. Yan Borodovsky (Intel): EUV source power targets need to be revised upwards ( 1kW average in-band @IF) to meet Complementary Lithography and Contacts patterning technology needs (2012 Lithography Workshop, Williamsburg, VA, USA) Date / Customer / Slide 11

Why increase in the source requirement The smaller the CD the higher shot noise impact on CDU and LER the higher resist dose is needed Are there ways to improve resist? Possibly: Increase Dill B (from 6->24) Increase mask CD (biasing 1-> 1.2) Increasing aspect ratio of the features (from < 2:1) But we are at the source workshop now. Let us try to rethink what we can do to get to 1000 W source Date / Customer / Slide 12

Conventional scaling Date / Customer / Slide 13

Power @ IF, W Historical perspective on EUV source: Production power requirement, achieved power, productivity 1000 100 Age of choice Age of Xe Age of Sn Age of industrialization 10 1 0.1 1998 1999 2000 2001 2002 2003 2004 2005 2006 2007 2008 2009 2010 ADT NXE-3100 Productivity, wph 0.01 Power desired, W IF Power achieved, W IF Year Averaged and independent on supplier Gap in productivity is being bridged, in reliable power is still 10x to go. Date / Customer / Slide 14 Slide 14

Two EUV source concepts Laser-Produced Plasma (LPP) Electrical Discharge (LDP) CO2 drive laser Sn droplets plasma plasma Foil trap Near normal Multilayer collector Sn coated Rotating disc Grazing collector CO 2 laser ignites tin plasma Debris mitigation by background gas and possible magnetic field (Giga) High voltage ignites tin plasma Debris mitigation by foil trap Suppliers: Cymer, Gigaphoton inc. Supplier: XTREME technologies GmbH Presentations David Brandt (Cymer), Gigaphoton Inc., XTREME technologies GmbH Date / Customer / Slide 15

LPP now Special thanks to David Brandt Date / Customer / Slide 16

LPP scaling LPP shows potential of scaling in low duty cycle experiments Special thanks to David Brandt Date / Customer / Slide 17

DPP now Special thanks to Rolf Apetz Date / Customer / Slide 18

DPP scaling DPP shows potential of scaling in low duty cycle experiments Special thanks to Rolf Apetz Date / Customer / Slide 19

3300 source hardware installing in Veldhoven 3300 vessel Drive laser 3300 vessel installed Source Qualification Tool Date / Customer / Slide 20

Conventional scaling of LPP According to Fomenkov et al @ SPIE 2012 : For 185 W EUV 35+ kw laser power is needed @ 3% CE thus For 1000 W (@CE= 3%) -> 190+ kw laser power or For 1000 W (@CE= 5%) -> 110+ kw laser power Challenges and question to the conference: CE increase viability at higher powers? (GPI @ SPIE 2012 reported 5%) Laser power scaling or multiplication Maintaining cold gas buffer for lifetime of the mirror at the 3-4x increase of power load Maintaining lifetime of collector at increased (3x-4x) Sn consumption (Is GI collector (Media Lario SPIE 2012) a viable idea in this case? Droplet generator scalability to higher frequencies?. Date / Customer / Slide 21

Conventional scaling of DPP (LDP) According to Corthout et al @ EUVL symp 2010: For 107 W EUV 76 kw power input is needed @ 2.3% CE thus For 1000 W (@CE= 3%) -> 700+ kw power input is needed Challenges and question to the conference: Is CE increase an option? Will discharge heads still work at this power or jets is a way (Koshelev et al SPIE 2012) How to scale foil trap when > ½ MW is dissipated at a short distance (increase the distance -> collector size and track length). Date / Customer / Slide 22

Not conventional scaling Date / Customer / Slide 23

Synchrotron wiggler, undulator, FEL Principle: Never made it e- 1. Relativistic electrons traversing a periodic magnetic structure are being bent; 2. Being bent, electrons emit EUV. Prospects before 2000: EUV 1. No debris; 2. Good dose repeatability; 3. High maturity (1999!); 4. High uptime Issues: 1. High CoO; 2. Non-flexible configuration. 3. Not enough power (2005!) 4. Current update: 0.2 W with FLASH (250 m installation) Date / Customer / Slide 24

Alternative high power source: free electron laser EUV radiation from an accelerator based source. folded linear accelerator EUV light from amplified undulator radiation average power > 1kW repetition rate > 250 khz Slide 25 Details: Concept Study on an Accelerator based Source for 6.x nm Lithography, Session 11

Looking at the FEL again Current update: 0.2 W with FLASH (250 m installation) But theoretically > kw is possible? Date / Customer / Slide 26

Summary The EUVL NXE tool is ready to produce great imaging solutions Power of the source has to come still beyond 100+ W and progress is being made as we speak 1000 W is needed for the future Question to the conference: How to do this? Date / Customer / Slide 27

Acknowledgements The work presented today, is the result of hard work and dedication of teams at ASML, Cymer, Ushio and many technology partners worldwide Special thanks to David Brandt of Cymer, Rolf Apetz of Xtreme and Diana Tuerke of Zeiss for providing input to this presentation. Date / Customer / Slide 28 Slide 28