Scaling of Semiconductor Integrated Circuits and EUV Lithography

Similar documents
Present Status and Future Prospects of EUV Lithography

Advanced Digital Integrated Circuits. Lecture 2: Scaling Trends. Announcements. No office hour next Monday. Extra office hour Tuesday 2-3pm

EUVL getting ready for volume introduction

From ArF Immersion to EUV Lithography

Intel's 65 nm Logic Technology Demonstrated on 0.57 µm 2 SRAM Cells

(Complementary E-Beam Lithography)

The future of lithography and its impact on design

Practical Information

Lithography Roadmap. without immersion lithography. Node Half pitch. 248nm. 193nm. 157nm EUVL. 3-year cycle: 2-year cycle: imec 2005

Holistic View of Lithography for Double Patterning. Skip Miller ASML

Multiple Patterning for Immersion Extension and EUV Insertion. Chris Bencher Distinguished Member of Technical Staff Applied Materials CTO group

Mask Technology Development in Extreme-Ultraviolet Lithography

Newer process technology (since 1999) includes :

EUVL Scanners Operational at Chipmakers. Skip Miller Semicon West 2011

Update on 193nm immersion exposure tool

National Projects on Semiconductor in NEDO

R&D Status and Key Technical and Implementation Challenges for EUV HVM

Intel Technology Journal

Lithography Industry Collaborations

Low-Power VLSI. Seong-Ook Jung VLSI SYSTEM LAB, YONSEI University School of Electrical & Electronic Engineering

NANOELECTRONIC TECHNOLOGY: CHALLENGES IN THE 21st CENTURY

Practical Information

Optics for EUV Lithography

Advanced Patterning Techniques for 22nm HP and beyond

Nikon EUVL Development Progress Update

450mm and Moore s Law Advanced Packaging Challenges and the Impact of 3D

Computational Lithography Requirements & Challenges for Mask Making. Naoya Hayashi, Dai Nippon Printing Co., Ltd

Litho Metrology. Program

TSMC Property. EUV Lithography. The March toward HVM. Anthony Yen. 9 September TSMC, Ltd

Progress due to: Feature size reduction - 0.7X/3 years (Moore s Law). Increasing chip size - 16% per year. Creativity in implementing functions.

PUSHING LITHOGRAPHY TO ENABLE ULTIMATE NANO-ELECTRONICS. LUC VAN DEN HOVE President & CEO imec

Acknowledgements. o Stephen Tobin. o Jason Malik. o Dr. Dragan Djurdjanovic. o Samsung Austin Semiconductor, Machine Learning

EUV lithography: today and tomorrow

Spring of EUVL: SPIE 2012 AL EUVL Conference Review

Innovation to Advance Moore s Law Requires Core Technology Revolution

Optical Lithography. Keeho Kim Nano Team / R&D DongbuAnam Semi

Trends and Challenges in VLSI Technology Scaling Towards 100nm

EUV Supporting Moore s Law

Enabling Breakthroughs In Technology

Impact of 3-D Mask Effects on CD and Overlay over Image Field in Extreme Ultraviolet Lithography

Fin-Shaped Field Effect Transistor (FinFET) Min Ku Kim 03/07/2018

New Process Technologies Will silicon CMOS carry us to the end of the Roadmap?

Reliable High Power EUV Source Technology for HVM: LPP or DPP? Vivek Bakshi, Ph.D. EUV Litho, Inc.

Limitations and Challenges to Meet Moore's Law

2008 European EUVL. EUV activities the EUVL shop future plans. Rob Hartman

EE241 - Spring 2013 Advanced Digital Integrated Circuits. Announcements. Sign up for Piazza if you haven t already

2009 International Workshop on EUV Lithography

Transistor Scaling in the Innovation Era. Mark Bohr Intel Senior Fellow Logic Technology Development August 15, 2011

Eun-Jin Kim, GukJin Kim, Seong-Sue Kim*, Han-Ku Cho*, Jinho Ahn**, Ilsin An, and Hye-Keun Oh

Lithography on the Edge

ECE 5745 Complex Digital ASIC Design Topic 2: CMOS Devices

FinFET vs. FD-SOI Key Advantages & Disadvantages

EUVL: Challenges to Manufacturing Insertion

5. Lithography. 1. photolithography intro: overall, clean room 2. principle 3. tools 4. pattern transfer 5. resolution 6. next-gen

Closed Loop Registration Control (RegC ) Using PROVE as the Data Source for the RegC Process

EUV Actinic Blank Inspection Tool Development

EECS 151/251A Spring 2019 Digital Design and Integrated Circuits. Instructors: Wawrzynek. Lecture 8 EE141

Manufacturing Case Studies: Copy Exactly (CE!) and the two-year cycle at Intel

DUV. Matthew McLaren Vice President Program Management, DUV. 24 November 2014

Lithography. International SEMATECH: A Focus on the Photomask Industry

TECHNOLOGY ROADMAP 2011 EDITION LITHOGRAPHY FOR

GIGAPHOTON INTRODUCTION

G450C. Global 450mm Consortium at CNSE. Michael Liehr, General Manager G450C, Vice President for Research

ECSE 6300 IC Fabrication Laboratory Lecture 3 Photolithography. Lecture Outline

State-of-the-art device fabrication techniques

TWINSCAN XT:1950i Water-based immersion taken to the max Enabling fast, single-exposure lithography at sub 40 nm

EUV Interference Lithography in NewSUBARU

IC Knowledge LLC, PO Box 20, Georgetown, MA Ph: (978) , Fx: (978)

ITRS MOSFET Scaling Trends, Challenges, and Key Technology Innovations

ISSCC 2003 / SESSION 1 / PLENARY / 1.1

EUV: Status and Challenges Ahead International Workshop on EUVL, Maui 2010

Recent Activities of the Actinic Mask Inspection using the EUV microscope at Center for EUVL

Photolithography Technology and Application

450mm silicon wafers specification challenges. Mike Goldstein Intel Corp.

EUVL Activities in China

The Development of the Semiconductor CVD and ALD Requirement

Scope and Limit of Lithography to the End of Moore s Law

Enabling Semiconductor Innovation and Growth

Challenges of EUV masks and preliminary evaluation

1 Digital EE141 Integrated Circuits 2nd Introduction

Growing the Semiconductor Industry in New York: Challenges and Opportunities

Introduction. Digital Integrated Circuits A Design Perspective. Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic. July 30, 2002

Photolithography. References: Introduction to Microlithography Thompson, Willson & Bowder, 1994

Inspection of templates for imprint lithography

+1 (479)

Nano-crystalline Oxide Semiconductor Materials for Semiconductor and Display Technology Sanghun Jeon Ph.D. Associate Professor

* AIT-5: Maskless, High-NA, Immersion, EUV, Imprint

Homework 10 posted just for practice. Office hours next week, schedule TBD. HKN review today. Your feedback is important!

EUV Lithography Transition from Research to Commercialization

Burn-in & Test Socket Workshop

EMT 251 Introduction to IC Design

Electron Multi-Beam Technology for Mask and Wafer Direct Write. Elmar Platzgummer IMS Nanofabrication AG

Beyond Immersion Patterning Enablers for the Next Decade

write-nanocircuits Direct-write Jaebum Joo and Joseph M. Jacobson Molecular Machines, Media Lab Massachusetts Institute of Technology, Cambridge, MA

Novel EUV Resist Development for Sub-14nm Half Pitch

Accelerating the next technology revolution

Chapter 15 IC Photolithography

HOW TO CONTINUE COST SCALING. Hans Lebon

III-V on Si for VLSI. 200 mm III-V on Si. Accelerating the next technology revolution. III-V nfet on 200 mm Si

AN ANALYSIS: TRADITIONAL SEMICONDUCTOR LITHOGRAPHY VERSUS EMERGING TECHNOLOGY (NANO IMPRINT) Robert L. Wright Kranthi Mitra Adusumilli

Transcription:

Scaling of Semiconductor Integrated Circuits and EUV Lithography ( 半導体集積回路の微細化と EUV リソグラフィー ) December 13, 2016 EIDEC (Emerging nano process Infrastructure Development Center, Inc.) Hidemi Ishiuchi 1

OUTLINE Scaling Trend: End of Moore s Law? EUV Lithography: Present Status EUV-FEL as light source for EUV Lithography Conclusion 2

Moore s Law is Dead. Long Live Moore s Law. Cover and Table of Contents of IEEE Spectrum, vol. 52, issue 4, April 2015 3

Moore s Law (G. E. Moore, 1965) The complexity for minimum component costs has increased at a rate of roughly a factor of two per year Ref: Gordon E. Moore, Electronics vol. 38, no. 8, pp. 114-117, 1965 Reprint version: Proc. IEEE vol. 86, no. 1, pp. 82-85, 1998 4

Moore s Law after 40 years (functions per chip, microprocessors) 5

Functions /chip: 2x per 2 years 6

1000 End of Moore's Law? Scaling Trend of Logic LSIs 2011 ITRS - Technology Trends ITRS 2011 2009/10/11 ITRS MPU/ASIC Metal 1 (M1) ½ Pitch (nm) [historical trailing at 2-yr cycle; extended to 2013; then 3- yr cycle] 2009/10/11 ITRS MPU Printed Gate Length (GLpr) (nm) [3-yr cycle from 2011/35.3nm] Nanometers (1e-9) 100 2009/10/11 ITRS MPU Physical Gate Length (nm) [begin 3.8-yr cycle from 2009/29.0nm] 16nm 10 1 1995 2000 2005 2010 2015 2020 2025 2030 Year of Production 2011 ITRS: 2011-2026 Source: ITRS 2011 Edition Long-Term 19-26 7

1000 End of Moore s Law? Scaling Trend of Logic LSIs 2011 ITRS - Technology Trends ITRS 2011 & 2015 2009/10/11 ITRS MPU/ASIC Metal 1 (M1) ½ Pitch (nm) [historical trailing at 2-yr cycle; extended to 2013; then 3- yr cycle] 2009/10/11 ITRS MPU Printed Gate Length (GLpr) (nm) [3-yr cycle from 2011/35.3nm] Nanometers (1e-9) 100 10 2009/10/11 ITRS MPU Physical Gate Length (nm) [begin 3.8-yr cycle from 2009/29.0nm] 16/14nm 11/10nm 8/7nm 6/5nm 16nm ITRS 2015 1 1995 2000 2005 2010 2015 2020 2025 2030 Year of Production 2011 ITRS: 2011-2026 Metal1 Half Pitch Physical Gate Length (High Performance Logic) Source: ITRS 2011 Edition / ITRS 2015 Edition Long-Term 19-26 8

9

10

11

12

13

14

15

16

More Complex MOSFET Structure (ITRS 2015) 17

18

19

20

Table MM01 - More Moore - Logic Core Device Technology Roadmap YEAR OF PRODUCTION 2015 2017 2019 2021 2024 2027 2030 Logic device technology naming P70M56 P48M36 P42M24 P32M20 P24M12G1 P24M12G2 P24M12G3 Logic industry "Node Range" Labeling (nm) "16/14" "11/10" "8/7" "6/5" "4/3" "3/2.5" "2/1.5" Logic device structure options finfet FDSOI Gate TBOX FDSOI finfet FDSOI Gate TBOX FDSOI finfet LGAA finfet LGAA VGAA VGAA, M3D VGAA, M3D VGAA, M3D LOGIC DEVICE GROUND RULES MPU/SoC Metalx ½ Pitch (nm)[1,2] 28.0 18.0 12.0 10.0 6.0 6.0 6.0 MPU/SoC Metal0/1 ½ Pitch (nm) 28.0 18.0 12.0 10.0 6.0 6.0 6.0 Contacted poly half pitch (nm) 35.0 24.0 21.0 16.0 12.0 12.0 12.0 L g: Physical Gate Length for HP Logic (nm) [3] 24 18 14 10 10 10 10 L g: Physical Gate Length for LP Logic (nm) 26 20 16 12 12 12 12 Scaling of MOSFET Table MM01 - More Moore - Logic Core Device Technology Roadmap YEAR OF PRODUCTION 2015 2017 2019 2021 2024 2027 2030 Logic device technology naming P70M56 P48M36 P42M24 P32M20 P24M12G1 P24M12G2 P24M12G3 Logic industry "Node Range" Labeling (nm) "16/14" "11/10" "8/7" "6/5" "4/3" "3/2.5" "2/1.5" Logic device structure options finfet finfet finfet finfet VGAA, LGAA FDSOI FDSOI LGAA M3D VGAA VGAA, M3D VGAA, M3D FDSOI FDSOI Gate Gate TBOX TBOX LOGIC DEVICE GROUND RULES MPU/SoC Metalx ½ Pitch (nm)[1,2] 28.0 18.0 12.0 10.0 6.0 6.0 6.0 MPU/SoC Metal0/1 ½ Pitch (nm) 28.0 18.0 12.0 10.0 6.0 6.0 6.0 Contacted poly half pitch (nm) 35.0 24.0 21.0 16.0 12.0 12.0 12.0 L g : Physical Gate Length for HP Logic (nm) [3] 24 18 14 10 10 10 10 L g : Physical Gate Length for LP Logic (nm) 26 20 16 12 12 12 12 finfet: fin Field Effect Transistor LGAA: Lateral Gate-All-Around M3D: Monolithic 3 Dimensional FDSOI: Fully Depleted Silicon On Wafer VGAA: Vertical Gate-All-Around Source: ITRS 2015 Edition, More Moore Chapter, Table MM01 21

22

3D Cell Arrays of NAND Flash Memories Charge Trap Cell (Samsung) Floating Gate Cell (intel / Micron) 23

Rayleigh s Formula λ R = k 1 NA R: Resolution (nm) k 1 : Constant λ: Wave Length (nm) NA: Numerical Aperture R (nm) k 1 λ (nm) 64 0.31 37 0.26 12 0.30 7.9 0.30 7.3 0.30 193 (ArF) 193 (ArF) 13.5 (EUV) 13.5 (EUV) 13.5 (EUV) NA 0.93 1.35 0.33 0.51 0.55 24

EUV Lithography Tools in AIST SCR Source: S. Magoshi, et al,, Recent status of the High-NA Small Field Exposure Tool (HSFET) at EIDEC, 2016 International Symposium on Extreme Ultraviolet Lithography, Hiroshima, Japan, Oct. 24, 2016 25

Variable NA NA0.33 vs. NA0.51 Source: S. Magoshi, et al,, Recent status of the High-NA Small Field Exposure Tool (HSFET) at EIDEC, 2016 International Symposium on Extreme Ultraviolet Lithography, Hiroshima, Japan, Oct. 24, 2016 26

HSFET Image Contrast (Simulation) Source: S. Magoshi, et al,, Recent status of the High-NA Small Field Exposure Tool (HSFET) at EIDEC, 2016 International Symposium on Extreme Ultraviolet Lithography, Hiroshima, Japan, Oct. 24, 2016 27

Imaging Performance Quad. Illumination Source: S. Magoshi, et al,, Recent status of the High-NA Small Field Exposure Tool (HSFET) at EIDEC, 2016 International Symposium on Extreme Ultraviolet Lithography, Hiroshima, Japan, Oct. 24, 2016 28

Imaging Performance Dipole for 11nm L/S Source: S. Magoshi, et al,, Recent status of the High-NA Small Field Exposure Tool (HSFET) at EIDEC, 2016 International Symposium on Extreme Ultraviolet Lithography, Hiroshima, Japan, Oct. 24, 2016 29

Imaging Performance Leaf Dipole for 8nm L/S Source: S. Magoshi, et al,, Recent status of the High-NA Small Field Exposure Tool (HSFET) at EIDEC, 2016 International Symposium on Extreme Ultraviolet Lithography, Hiroshima, Japan, Oct. 24, 2016 30

31

32

10nm Technology of Samsung Design 10 nm 14 nm Gate pitch 64 nm 78 nm CA pitch 64 nm 78 nm Active Contact Width 18 nm 20 nm M1, Mx (Metal Interconnect) pitch Metal (M1, Mx) half pitch: 24 nm 48 nm 64 nm Lithography Tool: ArF immersion (ArF-i) Ref: H.-J. Cho, et al, 2016 Symposium on VLSI Technology, Digest of Technical Papers, pp.14-15, 2016. 33

7 nm Technologies in IEDM 2016 IBM, GLOBALFOUNDRIES, and Samsung: Poly Si (contacted): 44nm / 48 nm pitch (ArF-i) Metal interconnect: 36nm pitch (EUV) EUV lithography for Metal Interconnect TSMC: SRAM cell size: 0.027 um 2 ArF immersion (ArF-i) lithography (R&D with EUV Lithography, too) Ref: IEDM Technical Digest, 2016 34

IEDM 2016, #2.6, IBM/GF/Samsung Ref: IEDM Technical Digest, 2016 35

IEDM 2016, #2.6, IBM/GF/Samsung Ref: IEDM Technical Digest, 2016 36

2016 EUVL Symposium: Highlights Source 70% average availability achieved. (champion: 90% per 4wks) 1500 wpd demonstrated but consistency is the next challenge. Resist Sensitivity and LER/LCDU are far from targets. Stochastic variation needs to be addressed for current and future materials. Source: Closing Address, 2016 International Symposium on Extreme Ultraviolet Lithography, Hiroshima, Japan, Oct. 26, 2016 37

2016 EUVL Symposium: Highlights Mask Very positive year (ABI optic upgraded, AIMS tool shipped). Blank suppliers making progress (0 defect blanks possible). Infrastructure gap for pattern mask inspection. Pellicle (keeping mask clean) Good progress but very far to go for HVM readiness. Need industry focus to bring all the required components together. Source: Closing Address, 2016 International Symposium on Extreme Ultraviolet Lithography, Hiroshima, Japan, Oct. 26, 2016 38

2016 EUV Focus Areas Source: Closing Address, 2016 International Symposium on Extreme Ultraviolet Lithography, Hiroshima, Japan, Oct. 26, 2016 39

EUV Focus Areas Source: Closing Address, 2016 International Symposium on Extreme Ultraviolet Lithography, Hiroshima, Japan, Oct. 26, 2016 40

EUV-FEL (Free Electron Laser) Source: H. Kawata, Strategy to realize the EUV-FEL high power light source, 2016 International Symposium on Extreme Ultraviolet Lithography, Hiroshima, Japan, Oct. 24, 2016 41

Potential Problems in EUV-FEL R&D expense to develop EUV-FEL Who pays the cost? International collaboration necessary. When and where available? Cost of ownership to be less expensive than existing EUV source Foot print Stable operation two beam lines are necessary for back up Generation of radioactive materials due to high energy electron irradiation High peak power potential damage in mirrors and reticles Resist Too coherent EUV light 42

Tradeoff: Resolution vs Sensitivity Low sensitivity is acceptable if higher EUV source power is available. Ref: 2016 International Symposium on Extreme Ultraviolet Lithography, Hiroshima, Japan 43

Summary Scaling limit is 10nm for MOSFET gate length; 6nm for metal interconnect, according to ITRS 2015. Performance and degree of integration will be getting better by using new device structures, new materials, 3D device structure, 3D assembly & packaging, etc. even if we reach the scaling limit EUV lithography will be used in mass production tool for 7nm or 5nm logic products and beyond. EUV-FEL is a possible solution as an EUV source with higher average power than 1 kw. Its cost of ownership, peak power, coherence of the EUV-FEL source might be the potential problems to be solved 44

References ITRS (International Technology Roadmap for Semiconductors) http://www.itrs2.net/ ITRS latest version, and archives White Paper, Presentation Materials, etc. JEITA / STRJ (Semiconductor Technology Roadmap committee of Japan) http://semicon.jeita.or.jp/strj/ ITRS 2013 Edition (Japanese version) and older Presentation material of STRJ Workshop, etc. SEMATECH and ISMI Proceedings Archives: Lithography http://www.sematech.org/meetings/archives/litho/index.htm IEUVI (International EUV Initiative) http://ieuvi.org/index.html http://ieuvi.org/twg/ieuvi_twgs01.htm 45