Intel. Moving immersion into production. Intel and ASML. System flexibility through enhancement packages. Special Edition 2006

Similar documents
Demonstrating Commitment in the New Veldhoven Demo Lab

Competitive in Mainstream Products

Holistic View of Lithography for Double Patterning. Skip Miller ASML

ASML Market dynamics. Dave Chavoustie EVP Sales Analyst Day, September 30, 2004

TWINSCAN XT:1950i Water-based immersion taken to the max Enabling fast, single-exposure lithography at sub 40 nm

Bank of America Merrill Lynch Taiwan, Technology and Beyond Conference

DUV. Matthew McLaren Vice President Program Management, DUV. 24 November 2014

Intel Technology Journal

immersion optics Immersion Lithography with ASML HydroLith TWINSCAN System Modifications for Immersion Lithography by Bob Streefkerk

HYDROLITH IMMERSION TECHNOLOGY ON THE WORLD S LEADING LITHOGRAPHY PLATFORM

EUVL Scanners Operational at Chipmakers. Skip Miller Semicon West 2011

ipad Total Cost of Ownership: the Cost Savings and of a Mid-Year Refresh

Public. Introduction to ASML. Ron Kool. SVP Corporate Strategy and Marketing. March-2015 Veldhoven

Nikon Medium Term Management Plan

EUVL getting ready for volume introduction

Spring of EUVL: SPIE 2012 AL EUVL Conference Review

Light Source Technology Advances to Support Process Stability and Performance Predictability for ArF Immersion Double Patterning

The SEMATECH Model: Potential Applications to PV

Holistic Lithography. Christophe Fouquet. Executive Vice President, Applications. 24 November 2014

EUV lithography: today and tomorrow

2010 IRI Annual Meeting R&D in Transition

EUV Supporting Moore s Law

G450C. Global 450mm Consortium at CNSE. Michael Liehr, General Manager G450C, Vice President for Research

Imaging for the next decade

MAPPER: High throughput Maskless Lithography

Doug Dunn ASML President and Chief Executive Officer Deutsche Bank Conference London, England September 19, / Slide 1

450mm silicon wafers specification challenges. Mike Goldstein Intel Corp.

Lithography. International SEMATECH: A Focus on the Photomask Industry

It s Time for 300mm Prime

The Waferstepper Challenge: Innovation and Reliability despite Complexity

Application-Based Opportunities for Reused Fab Lines

UV Nanoimprint Stepper Technology: Status and Roadmap. S.V. Sreenivasan Sematech Litho Forum May 14 th, 2008

Intel Demonstrates High-k + Metal Gate Transistor Breakthrough on 45 nm Microprocessors

ACCELERATING THE FUTURE OF SEMICONDUCTORS

(Complementary E-Beam Lithography)

5. Lithography. 1. photolithography intro: overall, clean room 2. principle 3. tools 4. pattern transfer 5. resolution 6. next-gen

Recent Trends in Semiconductor IC Device Manufacturing

Immersion Lithography: New Opportunities for Semiconductor Manufacturing

Intel's 65 nm Logic Technology Demonstrated on 0.57 µm 2 SRAM Cells

Optics for EUV Lithography

SPECIAL FEATURE. Supporting Food Demands and Driving Business Growth FUJITSU. Mansour Zadeh, Global CIO, Smithfield Foods, Inc.

Manufacturing Case Studies: Copy Exactly (CE!) and the two-year cycle at Intel

Digital Swarming. Public Sector Practice Cisco Internet Business Solutions Group

National Instruments Accelerating Innovation and Discovery

Lithography. Development of High-Quality Attenuated Phase-Shift Masks

450mm and Moore s Law Advanced Packaging Challenges and the Impact of 3D

MEMS On-wafer Evaluation in Mass Production Testing At the Earliest Stage is the Key to Lowering Costs

ANALYZING START-UP AND INVESTMENT TRENDS IN THE MOBILITY ECOSYSTEM

From ArF Immersion to EUV Lithography

Registration performance on EUV masks using high-resolution registration metrology

NXE: 3300B qualified to support customer product development

ISMI Industry Productivity Driver

Techniques to Achieve Oscilloscope Bandwidths of Greater Than 16 GHz

HOW FRANCHISORS AND FRANCHISEES CAN LEVERAGE TECHNOLOGY TO ACHIEVE OPERATIONAL EXCELLENCE WHITE PAPER

Update on 193nm immersion exposure tool

Benefit of ArF immersion lithography in 55 nm logic device manufacturing

Multiple Patterning for Immersion Extension and EUV Insertion. Chris Bencher Distinguished Member of Technical Staff Applied Materials CTO group

free library of philadelphia STRATEGIC PLAN

AUTOCAM GETS PERFORMANCE BOOST FROM TORNOS MULTISWISS

INSPECTION AND REVIEW PORTFOLIO FOR 3D FUTURE

Summary report: Innovation, Sciences and Economic Development Canada s roundtable on advanced robotics and intelligent automation

Progress in full field EUV lithography program at IMEC

SEMICONDUCTOR INDUSTRY ASSOCIATION FACTBOOK

V I S I O N South Wolf Road, Wheeling, IL

Get Paid More and Promoted Faster: 21 Great Ways to Get Ahead in Your Career

IBM MICROELECTRONICS INNOVATES WITH A DITA-BASED INFORMATION STRATEGY TO ACHIEVE FIVE TIMES ROI

The Most Efficient SMT Solder Paste Stencil Cutter Available LPKF StencilLaser G 6080

Advanced Stepper Lithography Technology to Enable Flexible AMOLED Displays. Keith Best Roger McCleary Elvino M da Silveira 5/19/17

Status and Challenges for Multibeam DW lithography. L. PAIN CEA - LETI Silicon Technology Department

ASML s customer magazine

Market and technology trends in advanced packaging

Noel Technologies. Provider of Advanced Lithography and Semiconductor Thin Film Services

Advanced Mix & Match Using a High NA i-line Scanner

Towards an affordable Cost of Ownership for EUVL. Melissa Shell Principal Engineer & Program Manager, EUVL Research Components Research October 2006

Brief to the. Senate Standing Committee on Social Affairs, Science and Technology. Dr. Eliot A. Phillipson President and CEO

Special Report on Engaged Audience Building. Design Your. Audience Business

INDUSTRIAL SCANNERS AND SYSTEMS

Are you ready for a new website?

The Profitable Side Project Handbook

Insights into Mining. Incremental innovation. Is it the right approach for mining?

In 1984, a cell phone in the U.S. cost $3,995 and

Metrology in the context of holistic Lithography

Analysis of Focus Errors in Lithography using Phase-Shift Monitors

High-NA EUV lithography enabling Moore s law in the next decade

From Possible to Practical The Evolution of Nanoimprint for Patterned Media

Feature-level Compensation & Control

EUV lithography: status, future requirements and challenges

Mask magnification at the 45-nm node and beyond

Aftermarket Services

LETTER FROM THE EXECUTIVE DIRECTOR FOREWORD BY JEFFREY KRAUSE

Welcome to RHI Magnesita The driving force of the refractory industry

Technology Transfers Opportunities, Process and Risk Mitigation. Radhika Srinivasan, Ph.D. IBM

A Perspective on Semiconductor Equipment. R. B. Herring March 4, 2004

Optolith 2D Lithography Simulator

Lithography Industry Collaborations

Discovering Electrical & Computer Engineering. Carmen S. Menoni Professor Week 3 armain.

THE INTELLIGENT REFINERY

Metalsa: Automated Quality Control of Formed Sheet Metal Parts

Status and challenges of EUV Lithography

Software Maintenance Cycles with the RUP

Transcription:

Intel Special Edition 2006 ASML s customer magazine Moving immersion into production Intel and ASML System flexibility through enhancement packages

6 10 14 3 Editor s note images Colofon 4 The value of ASML innovation 6 Moving immersion into production 8 TWINSCAN is on your wavelength 10 Intel and ASML 12 Micrascan 14 System flexibility Editorial Board Ryan Young, Tom McGuire Managing Editor Saskia Boeije Corporate Editor Emma English Contributing Writers Frank Bornebroek, Lee Harmon, Bert Koek, Ron Kool, Jos Lammerts, Skip Miller, Robert O'Neil Yogesh Sadarangani, Arlyn Stotts, Rich Vogt Circulation Michelle Herrick, Saskia Boeije, Emily Leung For more information, please see: www.asml.com/images 2006, ASML. ASML, ASM Lithography, TWINSCAN, PAS 5500, PAS 5000, SA 5200, ATHENA, QUASAR, HydroLith, IRIS, FOCAL, CPL, DDL, Micralign, Micrascan, 3DAlign, 2DStitching, 3DMetrology, MaskTools, LithoGuide, MaskRigger, MaskWeaver, LithoCruiser, LumenShaper, Ultra-k 1, DoseMapper, SAMOS, ILIAS, PerfectWave, AGILE, LS match2, ModelTuner, CLASS and the ASML logo are trademarks of ASML Holding N.V. or of affiliate companies. The trademarks may be used either alone or in combination with a further product designation. StarLith, AERIAL, AERIAL II, AERIAL E and AIMS are trademarks of Carl Zeiss. Nothing in this publication is intended to make representations with regard to whether any trademark is registered or to suggest that any sign other than those mentioned should not be considered to be a trademark of ASML or of any third party. 2

Images Intel Special Edition 2006 Editor s note Intel special edition by Rich Vogt & Ryan Young Intel is the world s largest manufacturer of computer chips. ASML is the world s market leader in semiconductor lithography. Together we can achieve great things. To celebrate our collaboration and foster continued information sharing, we are pleased to present you with a version of our Images Customer Magazine that is specifically tailored for Intel and that will be exclusively distributed within Intel. Inside you ll find an article that discusses the success we ve had with our ArF systems and talks about the possibilities of expanding that success into KrF systems. Another article outlines the basic principles behind our Value of Ownership philosophy. We aim to continue finding ways of increasing customer value; it is in fact, our commitment to you that drives this effort. As part of the VoO program we specifically detail in an additional article, the value gained by extending machines through our system enhancements program. We also included an article on the leading edge frontier of immersion. This article takes immersion technology out of the lab and into the fab, providing background on the true manufacturing capabilities of this innovation. And from recent to not-so-recent innovation, we discuss the Micrascan success story at Intel. This 90s technology continues to provide viable manufacturing and continuing value. Finally, we discuss ASML customer support. Success on the front lines is good for our customers and good for ASML. We invest heavily in talent and training to accomplish just that. We hope you ll find the information useful, and as always we welcome any feedback you may have. 3

The value of ASML innovation by Skip Miller Abstract The semiconductor industry focuses on cost of ownership (CoO) analysis to evaluate capital expenditure decisions. While worthwhile, this assessment tells only part of the story. ASML emphasizes value of ownership (VoO) to determine the true return our customers receive on their imaging investment. Because we are committed to developing proven, innovative platforms that enhance productivity, reliability and profitability, ASML delivers value at every stage of a system s usable lifetime, from initial purchase through production and end-of-use resale. Value of Ownership In the semiconductor industry, cost of ownership (CoO) analysis drives capital expenditure decisions. But there is another way to evaluate the merit of a purchase or expense. Because CoO is really an attempt to determine the value you receive in return for your expenditure, at ASML we first look at the value of ownership (VoO) when we design our systems. In fact, it s fair to say that maximizing VoO is our top customer concern. That s why we place such a strong emphasis on innovation in everything we do, from design and implementation to service and system enhancement. We are committed to developing proven platforms and processes that make your fab more productive and profitable, not just at the time of purchase, but at every stage of a system s usable lifetime, from installation to resale. leading to greater output per square foot and greater ultimate fab capacity. Value stage #2: Cost per Wafer High-volume ASML productivity is the result, in part, of superior imaging and overlay performance that significantly reduces rework. You need less tools to produce more wafers faster, and that higher output reduces your cost per wafer. Total cost per wafer ASML Competition Cost of ownership advantage Value stage #1: Capital Expenditure We know that your total capital expenditure is more than just your scanner price. It s your track system and installation costs as well. So the fewer scanners you purchase, the lower your overall litho Value stage #3: Cost per Die High-performance imaging and overlay also results in higher yield. This is how ASML innovation generates more good die per wafer, lowering your cost per die. You can expect your hardworking ASML system to be long-lasting, producing returns on your investment for years to come cell spend. ASML platforms consistently deliver the industry s highest productivity, resulting in fewer tools required for a given fab capacity that lowers your capital outlay and operating costs. In addition, our yellow-room-efficient platforms maximize productivity per footprint, Value stage #4: Time to Volume The sooner your system is up and running, the more value you will generate from it. That s why we emphasize accelerated install schedules that minimize the time your system goes from the dock into full production. Our seasoned 4

Images Intel Special Edition 2006 1 - Capital expenditure System price 2 - Cost/layer 7 - System resell value 3 - Cost/die 6 - System usable lifetime 4 - Time to volume 5 - Time to yield customer support staff has extensive knowledge on a proven, modular platform that is specifically designed for rapid implementation. Their experience and expertise results in record-setting installation times for our customers. Value stage #5: Time to Yield Getting to market first with new technology is the name of the game. You re fighting the market price erosion curve, so the sooner you get there, the longer you can earn the premium price and maximize new product revenue. ASML understands this, and our CS team is geared to optimizing your system quickly. Again, our experience and proven platform shortens the learning cycle and speeds up time to yield. Our goal is always to get your wafers right, right out the door. productivity makes your machine highly desirable in the pre-owned equipment market. Typically, ASML systems retain much higher residual value than competing machines. When the day comes to sell or trade in your system, this residual value will further reduce your net capital expenditure. As you can see, the value of ASML technology reaps rewards throughout a system s lifetime. This is not innovation ArF KrF ASML for innovation s sake, but the fulfillment of a real business need to generate profits and performance that you could not obtain any other way. Creating value through innovation is our top commitment to our customers, and is the legacy we seek to create with every system. Competition Value stage #6: System Usable Lifetime This is perhaps where ASML innovation delivers the most value to our customers. Our advanced modular design allows for nearly limitless system enhancements and upgrades. This level of extendibility means your platform will last through several generations of technology. You can expect your hardworking ASML system to be long-lasting, producing returns on your investment for years to come. Value stage #7: Resale Value ASML s reputation for reliability and best-of-class imaging, overlay and Value of productivity at fab build out Wafers per hour 140 120 100 80 60 40 20 0 2001 2002 2003 2004 2005 TWINSCAN 300 mm > 40% throughput advantage ASML TWINSCAN Competition

Moving immersion into production By Ron Kool Abstract Immersion lithography is the technology to keep the ITRS roadmap on track. With our TWINSCAN XT:1700Fi fourthgeneration immersion lithography scanner now shipping, there is a tremendous effort to move immersion lithography into highvolume production. Recent developments mean that it is viable for the 32-nm logic node. So the race is on for semiconductor manufacturers, and a significant market advantage is at stake. To deliver new technology nodes within competitive time frames, semiconductor manufacturers have regularly needed to jump forward in lithography techniques moving from i-line to KrF and then ArF. The next step on this path sees the introduction of immersion lithography, with IC manufacturers racing to have this new technology in volume production in time for the 32-nm logic node. With ASML as your partner, you re ideally placed to be successful in this race. Our dual-stage TWINSCAN platform lets you combine the advantages of immersion lithography with the familiarity and reliability of dry metrology. What s more, we recently launched the hyper-na age with the 1.2-NA XT:1700Fi scanner, and we announced the XT:1900i that will extend NA to 1.35. Shipments of the XT:1700Fi are reaching double figures, bringing the total number of ASML immersion machines shipped to well over 20. Quick change A unique driver in the race for immersion is the relatively short timescale in which the industry is aiming for introduction significantly shorter than the transition from KrF to ArF. To meet that time frame, tool suppliers, material vendors and semiconductor manufacturers need to work together to address the few remaining issues. The current focus for this cooperation is overlay and defectivity performance. Meeting the challenges It is well known that the heat impact of evaporating water influences overlay, so delivering good overlay performance from an immersion system brings extra technical challenges. Recently, we ve made significant progress on methods for reducing and compensating for these evaporation heat sources. We expect the overlay performance of our immersion systems will soon match that of our dry tools. 6

Images Intel Special Edition 2006 Turning to defect levels, ASML is meeting its responsibility to reduce the machine contribution. We re working closely with track suppliers on monitoring defects and providing clean tools that minimize particle defect levels. At the same time, manufacturers need to look at their processes to make sure they are optimized Milestones in immersion leadership 2007 The XT:1700Fi is ideal for high-volume production XT:1900i 1.35 NA, 40 nm Immersion volume production down to the 32-nm logic node for immersion techniques as this can have an impact on the overall defect level of the process. As we explained in the previous general issue of Images, a huge amount of progress has recently been made in this area. Combined teams of ASML and customer engineers have been able to deliver defect levels as low as 3 per wafer, comparable with the best dry processes. In addition to extending the capabilities of immersion tools, ASML is helping customers optimize their way of working to get the most from today s immersion capabilities. This makes high-volume immersion production viable much earlier and allows manufacturers to get ahead of the roadmap. Moving forward with the XT:1700Fi The XT:1700Fi is now being shipped in volume, and we expect to have delivered more than 20 by the end of the year. The first performance data is just starting to come back. Armed with this data we believe the XT:1700Fi is ideal for high-volume production down to the 32-nm logic node. There is still work to be done before immersion lithography is completely ready for high-volume manufacturing. That work is being carried out right now. The manufacturer investing time in that development work today will be rewarded with the clear advantage of being first to market with 32-nm logic. 2006 XT:1700i 1.2 NA, 45 nm 1 st imaging with 1.2 NA 2005 XT:1400i 0.93 NA, 55 nm Immersion processing at single defect level 2004 XT:1250i 0.85 NA, 70 nm 1 st ICs fabricated 2003 AT:1150i 0.75 NA, 90 nm 1 st scanning immersion imaging 7

TWINSCAN is on your wavelength By Bert Koek and Frank Bornebroek Abstract Intel is already employing a number of ASML ArF tools in its fabs across the world. These systems have proven their worth through a blend of extendibility, reliability and productivity, resulting in a low cost-per-layer. You can find those same properties in our TWINSCAN KrF tools, which is why our XT:850 is the industry s global 300-mm KrF workhorse. ASML has always had a reputation for leading the way in cutting-edge technology. That s the reason Intel first chose our TWINSCAN platform. In the years since that decision, TWINSCAN ArF systems have proven themselves in many of your fabs and processes. Proven ArF success As you and your colleagues have discovered, our latest offering, the XT:1400, has the imaging capabilities necessary for a premium brand like Intel and builds on your successful experiences with our earlier ArF tools such as the AT:1100 and XT:1250. What s more, the XT:1400 can be easily extended through our many upgrade packages, allowing you to increase production or product performance without the need to requalify your process. The KrF standard The XT:1400 s imaging quality, reliability, extendibility and productivity enable a very low cost-per-layer and excellent device performance. This blend is also found in our KrF systems, and here it has led to success on a global scale. In fact, our XT:850 is the industry s main workhorse for high-na KrF lithography. This makes switching to ASML KrF systems a very low risk proposition The XT:1400 also sets the standard for productivity. In its latest version or with the latest upgrades, the XT:1400F delivers an industry-leading throughput of 133 300-mm wafers per hour. It is also extremely reliable, with a sustainable mean time between interrupt (MTBI) of around 200 hours. This high throughput and reliability offer you extremely high fab capacity. Altogether, the XT:850 and its elder brother the AT:850 have a worldwide installed base of over 200 machines and are used in all major market sectors. Much of this success is due to their flexibility, a property that is ideally suited to Intel s process window requirements. In addition, the XT:850F delivers a market-leading throughput of 135 wafers per hour, nicely matching the output of the XT:1400F. 8

Images Intel Special Edition 2006 Unites States 25% Europe 7% Asia 68% TWINSCAN system shipments: 500 systems worldwide Common platform, lower risk All our TWINSCAN tools are based on a common platform. In fact, our KrF and ArF tools share > 70% of their parts. This makes switching to ASML KrF systems a very low risk proposition, as the majority of the technology is already familiar to you from your experience with your ArF tools. Furthermore, this commonality helps to reduce your overall cost of ownership. The common platform also improves the matching between machines. Matched-machine overlay values achieve 14 nm, ensuring the high-end product performance that has made Intel s name. Meanwhile, the throughput of our KrF and ArF systems are extremely similar, ensuring high operational efficiency and overall output to cut your cost-per-layer. Industry leader Our machines are renowned for their technology leadership, high productivity and easy extendibility as is clearly shown by the fact that all the tools we ve ever sold are still in use somewhere in the industry. Through our ArF tools, you ve gained experience of our technology while we ve developed an understanding of working with the industry leader. Our XT:850F offers a proven platform for KrF lithography capable of delivering well over 3000 wafers per day. A figure matched only by our ArF tools. XT:1400F specifications Imaging XT:850F specifications Imaging Numerical Aperture 0.93 Numerical Aperture 0.8 Resolution < 65 nm Resolution < 110 nm Field size 26 x 33 mm Field size 26 x 33 mm CD uniformity @ 65 nm (Full wafer BF) Overlay < 6 nm CD uniformity @110 nm (Full wafer BF) Overlay (with overlay package) < 8 nm Single machine < 8 nm Single machine < 8 nm Matched machine < 14 nm Matched machine < 15 nm Productivity Productivity Throughput (300 mm, 125 exp) > 133 wph Throughput (300 mm, 125 exp) > 135 wph Throughput (200 mm, 58 exp) > 165 wph Throughput (200 mm, 58 exp) > 165 wph Lot overhead < 13 seconds Lot overhead (with LOR2 package) Reticle exchange time < 13 seconds Reticle exchange time (with LOR2 package) Lens Lens < 13 seconds < 13 seconds Image plane deviation < 45 nm Image plane deviation < 80 nm Astigmatism < 25 nm Astigmatism < 55 nm Distortion < 7 nm Distortion < 12 nm Illumination Illumination Uniformity < 0.30% Uniformity < 0.70% Laser power 30 W Laser power 30 W 9

10

Images Intel Special Edition 2006 A working relationship that s always working Intel and ASML by Lee Harmon Abstract It s all systems go at Intel New Mexico (F11), a major semiconductor house where Intel has been using TWINSCAN systems for more than three and a half years. Over that time ASML and Intel have built an open and productive relationship that keeps F11 up and imaging round the clock. Sleep is not an alternative F11 never stops. So neither can ASML, which provides 24-hour customer support engineering and applications engineering support. In addition, a regional tech support engineer is available and an ASML regional manager is responsible for keeping the relationship and the litho cells up and running. We want to be a constant resource for Intel, says Lee Harmon, ASML Regional Manager for F11. We assist them with all their process issues, helping them understand their equipment and what it will do. Talk to me Success at F11 depends on communication, and this is where Intel and ASML have formed a particularly strong working relationship. Regular status meetings facilitate tracking issues, planning items and monitoring trends. Every shift begins with a meeting onsite at the tools, where everyone is made aware of what happened over the last twelve hours and what s going to happen over the next twelve. It makes it a lot easier for everyone to do their jobs and keep wafers moving, says ASML applications engineer Merritt Phillips. The last thing we try to have here is any surprises. ASML also holds higher-level bi-weekly engineering meetings with Intel to handle ARs and FCOs (actions required and field change orders). A collaborative atmosphere characterizes these meetings, indicating the level of teamwork established at F11. It s very much a team effort, says ASML supervisor Bob Sauerman, who notes that, after all, Intel and ASML are aligned on same goals. It helps to be working with a bunch of great engineers on the customer s side. Moving forward Fab 11 is just one example of the success that can be achieved between Intel and ASML. Intel is the world s leading semiconductor manufacturer, and ASML, as the world s leading lithography equipment provider, is pleased to be working with you. Together we will continue to drive innovations and manufacturing performance that advance our common goals. 11

Micrascan finds a second lease of system life by Yogesh Sadarangani Abstract ASML has worked closely with Intel to refurbish and upgrade its installed base of Micrascan imaging systems to help them meet a rise in wafer start demand. Productive use of these systems had been dwindling, but they now form the basis of resurging 200-mm manufacturing. ASML has engaged with Intel to get these tools up and running while lowering their CoO as much as possible. It has employed a number of approaches, including tool refurbishment, reducing the cost of consumables and spare parts, and extending system usable life. To date, these programs have saved Intel several million dollars in operational expenses. The end of an era? When ASML acquired lithography equipment manufacturer SVG in 2000, it inherited its installed base of Micrascan imaging systems, which were reaching end-of-life as Intel s critical layer KrF machines. As Intel converted some of its facilities from 200-mm to 300-mm manufacturing, many of these older systems went into forced retirement. Today, however, Micrascan has made an impressive resurgence at Intel. These dependable systems are in productive use imaging mid-critical and non-critical layers in Intel s Flash, chip sets and wireless technologies. Currently, most of Intel s original Micrascans are in service at F11 (New Mexico), F14 (Intel Ireland), F17 (Massachusetts), F18 (Intel Israel), F-20 (Oregon), F22 (Arizona), F23 (Colorado) and D-2 (California). The story of how these systems were saved from possible extinction to become the backbone of Intel s 200-mm litho cell for many of its processes is an interesting account of ingenuity, resourcefulness and partnership in action. A critical-layer workhorse temporarily put to pasture Over the years, Intel had purchased 230+ Micrascan systems from SVG. At the time of ASML s acquisition, Intel had an installed base of approximately 170 Micrascan II/II+/QML (MSII/MSII+) lamp-based systems, and 38 Micrascan III-X (MSX) laser-based systems. 12

Images Intel Special Edition 2006 As technology advanced, there was need for more sophisticated tools. With the advent of 300-mm wafer processing, these hardworking machines were gradually retired, dwindling the number in active use from a high of approximately 210 tools to 145 as Intel made its conversion to the new wafer format. But they hadn t seen the last of these valuable systems. Refurbish, retrain and rethink the system For the last 24 months, ASML has facilitated the re-emergence of Micrascans to help Intel meet a dramatic increase in wafer start demand. Machines once in deep storage are now out of mothballs and in full operation processing non-critical layers in newly busy 200-mm manufacturing. In this capacity, we ve seen the number of Intel s active Micrascans increase from 145 to 189 tools. millions by refurbishing MSX systems to like-new specifications. These oncedecommissioned platforms are now in use at F23 (Colorado) and IFO (Ireland Factory Operations). Refurbishing a machine and installing a new projection optics assembly costs roughly $1.8 million. If Intel were to opt for a new machine with similar capabilities, the price tag could reach $5 million per system, a difference that represents more than $3 million in savings for each refurbished machine. These tools are particularly useful to Intel for handling capacity increases because they are reconditioned with Copy Exactly (CE) capability. They can step in quickly without the necessity of new process development. Intel realized further savings through our MSII 1X-Relay Refurbishment and MSX Condenser Refurbishment programs. Over 60 relays have been reconditioned at a comparatively nominal cost, helping Intel reduce expenses while significantly significant portions of their consumable parts budget. In addition, we introduced refurbishment programs for certain consumables such as the CRS windows. Through these efforts, Intel was able to reuse their CRS windows multiple times at a fraction of the cost of purchasing new ones. The result of these efforts has paid off for Intel. Over the course of 2 years, they ve saved over $12 million. Onward and upward Intel has asked us to continue our CoO improvements program to help them keep Micrascans in service through 2010. We are currently entering into a new phase of targeting spare parts and consumables for refurbishment and re-engineering to extend usable life and reduce costs. We are also working with Intel to ensure we have systems in place to maintain ASML has facilitated the re-emergence of Micrascans to help Intel meet a dramatic increase in wafer start demand Machines once in deep storage are now out of mothballs and in full production These Micrascans have proven particularly useful imaging for commodity products where there is a great deal of focus on reducing cost of ownership (CoO). ASML has engaged with Intel to get these tools up and running while lowering their CoO as much as possible. We have been remarkably successful in our efforts, employing a number of approaches. One such approach is the Authorized Service Technician program (AST). In this initiative, ASML worked with Intel Machine Technicians and Tool Owners, training them to the level of our Customer Support Engineers and enabling them to take over a certain amount of service themselves in-house. The AST program has resulted in significant savings in Intel s operational budget. Likewise, our Micrascan Tool Refurbishment Program has saved Intel improving performance. Refurbishing 1X-Relays also improves Wafer Plane Power and restores system throughput while lowering Intel s spare parts and consumable spending. Another way ASML has helped extend the life of Micrascan tools and lower their CoO is through a program that focuses on reducing the cost of consumables and spare part usage. First, we identified the top twenty parts that represent 80% of Intel s spend. Then ASML s procurement and manufacturing departments went to work finding ways to reduce the cost of manufacturing these parts and passed the savings on to Intel. We also adopted techniques and processes that extended the life of parts. For example, working with Intel we performed MSX beam delivery system tune-ups, a creative procedure that saved optical performance at necessary levels, working with engineers in IFO to understand increased projection optics flare (scattered light) on certain tools, and develop an in-field correction. If we are successful in establishing a cost-effective fix, Intel could save millions more in the next few years, and possibly extend the life of the Micrascans beyond 2010. As we move forward, we will continue to find new ways of saving Intel money and extending system life while demonstrating our ability to provide world class service support of both new and legacy products. It s an approach that is integral to our mission. We are committed to the Micrascan program and proud to help Intel achieve its production and CoO goals. 13

System flexibility through enhancement packages By Jos Lammerts Abstract Thanks to a unique modular design, ASML systems can be easily enhanced through upgrade packages. This allows you to customize new systems for a given application by ordering specific system enhancements. In addition, you can readily increase the performance of existing machines greatly extending their lifetimes as technology nodes advance. Our upgrade packages offer a cost-effective path to improving the overall value of your scanners, often providing a return on investment within six months. In the competitive semiconductor market, you need to get the maximum value from your investments in equipment. That means having the right capabilities and capacity available at the right time and for the right price. ASML systems are designed to help you achieve just that. Our common TWINSCAN platform features a unique modular design that allows specific functionality to be easily added. We offer a wide range of system enhancements covering productivity, imaging, overlay, focus and automation. In addition, we re continually developing new technology to extend the capabilities of our lithography solutions and keep you ahead of the competition in a fast moving market. Tailor your machine to your needs These enhancements are offered as factory options on our newest machines and field upgrades for your installed base. So when you re buying a new system, you can choose from a number of system enhancement packages to tailor the system to your specific application requirements. downtime. This helps to minimize the time it takes to see a return on your investment in the upgrade. In fact, many customers find they have recouped the cost of the upgrade within six months. TOP performance Through our imaging and focus control products, you can quickly improve CDU control which enables you to move to the next process node. In addition, our overlay upgrades allow you to achieve aggressive yields at these tighter process specifications. On average, improving overlay by 1 nm on a product will increase yield by 1% for dense structures like SRAM. In a typical set up a 2% yield increase can cover the cost of the upgrade in a single quarter. Furthermore, improved overlay enables better machine matching, providing you with more loading and planning flexibility. A 2% increase in yield can cover the cost of the upgrade in a single quarter Those same modules are also available to enhance the capabilities of your existing machines, extending their working lifetimes by as much as 40% or 1 to 2 technology nodes. Our upgrades not only help you migrate to new technology nodes more quickly, they also help you improve your profitability for existing product lines by increasing product performance and the number of good die per wafer. Furthermore, the modular TWINSCAN platform enables quicker and easier installation of upgrades, reducing your We offer a range of TWINSCAN Overlay Package (TOP) options that progressively improve the overlay of installed and new systems. For instance, the TOP-2 option features statistical sampling software to reduce noise during stage alignment. It also includes new air showers for improved IFM beam coverage, leading to better alignment reproducibility. Taking an AT:850D as an example, the TOP-2 package improves singlemachine overlay from 12 to 8 nm. 14

Images Intel Special Edition 2006 AGILE focus control Our AGILE upgrade delivers tighter focus control for both front- and back-end layers. It combines an AirGauge and an optical sensor to give a highly accurate map of each production wafer without affecting productivity. Although the level of improvement varies greatly with the specific application, beta testing has shown that the focus error due to leveling can be reduced by around 50%. This is particularly useful in applications that currently have small process windows and low DoF numbers. AGILE Sensor Resist BARC Metal 1 SiO 2 Gate Contact Si The AGILE application uses the AirGauge focus sensor in order to measure the top surface of the resist Imaging that s tuned to your needs The ImageTuner option offers the best possible image for any application. All ASML systems already optimize the lens module on a shot-by-shot basis by adjusting lens actuators, focus and wavelength according to a standard image optimization model. ImageTuner goes a step further and also takes into account application-specific settings, such as the actual numerical aperture and field size, and uses this information to dynamically customize the optimization model. This results in improved CDU and focus control plus greater operational flexibility. Adding to your value of ownership Through our system enhancements, you can add to the overall value of ownership of your systems and make better use of your available capital. By enhancing the performance of individual systems you only need invest in new functionality when you need it and you can also achieve a greater resale price for any surplus systems. Additionally, ASML offers you continuous improvement in productivity and lithography performance to support ongoing integration, product performance and technology trends. ImageTuner: improve CDU by feature specific lens setup System Type TWINSCAN Overlay Upgrade Path AT:400D Single Machine 15 12 XT:450E & F Matched Machine 30 25 XT:760F Single Machine 15 12 Matched Machine 30 25 AT:850B Single Machine 20 15 10 Matched Machine 30 25 20 AT:850C Single Machine 15 10 Matched Machine 25 20 AT:850D Single Machine 12 8 XT:850F Matched Machine 20 15 AT:1100B Single Machine 20 15 10 Matched Machine 30 25 15 AT:1150C Single Machine 15 10 Matched Machine 25 15 15

www.asml.com Corporate Headquarters De Run 6501 5504 DR Veldhoven The Netherlands Phone +31 40 268 30 00 U.S. Main Office 8555 South River Parkway Tempe, AZ 85284 USA Phone +1 480 383 4422 Asia Main Office Suite 603, 6/F One International Finance Center 1 Harbour View Street Central, Hong Kong, SAR Phone +852 2295 1168