ISSCC 2006 / SESSION 21 / ADVANCED CLOCKING, LOGIC AND SIGNALING TECHNIQUES / 21.5

Similar documents
Mixed CMOS PTL Adders

(1) Non-linear system

Soft switched DC-DC PWM Converters

CHAPTER 3 AMPLIFIER DESIGN TECHNIQUES

To provide data transmission in indoor

Electronic Circuits I - Tutorial 03 Diode Applications I

Nevery electronic device, since all the semiconductor

Discontinued AN6262N, AN6263N. (planed maintenance type, maintenance type, planed discontinued typed, discontinued type)

Simulation of Transformer Based Z-Source Inverter to Obtain High Voltage Boost Ability

Kirchhoff s Rules. Kirchhoff s Laws. Kirchhoff s Rules. Kirchhoff s Laws. Practice. Understanding SPH4UW. Kirchhoff s Voltage Rule (KVR):

Control of high-frequency AC link electronic transformer

Multi-beam antennas in a broadband wireless access system

Experiment 3: Non-Ideal Operational Amplifiers

POWER minimization has become a primary concern in

Lab 8. Speed Control of a D.C. motor. The Motor Drive

Passive and Active Hybrid Integrated EMI Filters

Design and implementation of a high-speed bit-serial SFQ adder based on the binary decision diagram

Experiment 3: Non-Ideal Operational Amplifiers

Postprint. This is the accepted version of a paper presented at IEEE PES General Meeting.

Design And Implementation Of Luo Converter For Electric Vehicle Applications

MEASURE THE CHARACTERISTIC CURVES RELEVANT TO AN NPN TRANSISTOR

MOS Transistors. Silicon Lattice

Dokic: A Review on Energy Efficient CMOS Digital Logic

Fuzzy Logic Controller for Three Phase PWM AC-DC Converter

Lecture 16: Four Quadrant operation of DC Drive (or) TYPE E Four Quadrant chopper Fed Drive: Operation

Static and dynamic back-corona characteristics

CHAPTER 2 LITERATURE STUDY

A COMPARISON OF CIRCUIT IMPLEMENTATIONS FROM A SECURITY PERSPECTIVE

Design Techniques for Low Power High Bandwidth Upconversion in CMOS

A Practical DPA Countermeasure with BDD Architecture

Direct AC Generation from Solar Cell Arrays

Synchronous Generator Line Synchronization

PRACTICE NO. PT-TE-1414 RELIABILITY PAGE 1 OF 6 PRACTICES ELECTROSTATIC DISCHARGE (ESD) TEST PRACTICES

Galvanic Isolation System for Multiple Gate Drivers with Inductive Power Transfer

Engineer-to-Engineer Note

Transformerless Three-Level DC-DC Buck Converter with a High Step-Down Conversion Ratio

An Analog Baseband Approach for Designing Full-Duplex Radios

Solutions to exercise 1 in ETS052 Computer Communication

The Discussion of this exercise covers the following points:

& Y Connected resistors, Light emitting diode.

REVIEW QUESTIONS. Figure For Review Question Figure For Review Question Figure For Review Question 10.2.

Adiabatic Technique for Energy Efficient Logic Circuits Design

Design of UHF Fractal Antenna for Localized Near-Field RFID Application

High Speed On-Chip Interconnects: Trade offs in Passive Termination

DSP-based PLL-controlled khz 20 kw highfrequency induction heating system for surface hardening and welding applications

5 I. T cu2. T use in modem computing systems, it is desirable to. A Comparison of Half-Bridge Resonant Converter Topologies

LSI/CSI LS7211N-7212N PROGRAMMABLE DIGITAL DELAY TIMER

Three-Phase NPC Inverter Using Three-Phase Coupled Inductor

CVM-B100 CVM-B150. Power analyzers for panel

University of Dayton Research Institute Dayton, Ohio, Materials Laboratory Wright Patterson AFB, Ohio,

Threshold Logic Computing: Memristive-CMOS Circuits for Fast Fourier Transform and Vedic Multiplication

FPGA Based Five-Phase Sinusoidal PWM Generator

University of North Carolina-Charlotte Department of Electrical and Computer Engineering ECGR 4143/5195 Electrical Machinery Fall 2009

A NEW SOFT SWITCHING FLYBACK-FORWARD PWM DC-DC CONVERTER

LSI/CSI LS7215 LS7216 PROGRAMMABLE DIGITAL DELAY TIMER

Chapter 2 Literature Review

EET 438a Automatic Control Systems Technology Laboratory 5 Control of a Separately Excited DC Machine

Alternating-Current Circuits

(CATALYST GROUP) B"sic Electric"l Engineering

The Design and Verification of A High-Performance Low-Control-Overhead Asynchronous Differential Equation Solver

LSI/CSI LS7213R PROGRAMMABLE DIGITAL DELAY TIMER

Safety Relay Unit. Main contacts Auxiliary contact Number of input channels Rated voltage Model Category. possible 24 VAC/VDC G9SA-501.

Design and experimental validation of a multiphase VRM controller

MAX4528CSA. *Contact factory for availability. Pin Configuration/Functional Diagram/Truth Table IN 3

Application Note. Differential Amplifier

Synchronous Machine Parameter Measurement

Y9.ET1.3 Implementation of Secure Energy Management against Cyber/physical Attacks for FREEDM System

TRANSIENT VOLTAGE DISTRIBUTION IN TRANSFORMER WINDING (EXPERIMENTAL INVESTIGATION)

This is a repository copy of Four-port diplexer for high Tx/Rx isolation for integrated transceivers.

ABB STOTZ-KONTAKT. ABB i-bus EIB Current Module SM/S Intelligent Installation Systems. User Manual SM/S In = 16 A AC Un = 230 V AC

Investigation of propagation of partial discharges in power transformers and techniques for locating the discharge

Area-Time Efficient Digit-Serial-Serial Two s Complement Multiplier

Back to the Future: Digital Circuit Design in the FinFET Era

Sequential Logic (2) Synchronous vs Asynchronous Sequential Circuit. Clock Signal. Synchronous Sequential Circuits. FSM Overview 9/10/12

ECE 274 Digital Logic. Digital Design. Datapath Components Shifters, Comparators, Counters, Multipliers Digital Design

REPORT DOCUMENTATION PAGE

Two-layer slotted-waveguide antenna array with broad reflection/gain bandwidth at millimetre-wave frequencies

Boost Logic : A High Speed Energy Recovery Circuit Family

ARC DISCHARGE AND EROSION BEHAVIOR OF SILVER ELECTRIC CONTACTS BETWEEN STATIC GAP

Computing Logic-Stage Delays Using Circuit Simulation and Symbolic Elmore Analysis

Section 2.2 PWM converter driven DC motor drives

Modeling of Conduction and Switching Losses in Three-Phase Asymmetric Multi-Level Cascaded Inverter

International Journal of Scientific & Engineering Research, Volume 4, Issue 5, May ISSN

Pilot Operated Servo Proportional DC Valve Series D*1FP

FOR applications that do not require high computational. Synthesis of Bias-scalable CMOS Analog Computational Circuits Using Margin Propagation

Towards An Efficient Low Frequency Energy Recovery Dynamic Logic

10.4 AREAS AND LENGTHS IN POLAR COORDINATES

Design and Development of 8-Bits Fast Multiplier for Low Power Applications

THE present trends in the development of integrated circuits

Network Theorems. Objectives 9.1 INTRODUCTION 9.2 SUPERPOSITION THEOREM

System-Wide Harmonic Mitigation in a Diesel Electric Ship by Model Predictive Control

Synchronous Machine Parameter Measurement

Research Letter Investigation of CMOS Varactors for High-GHz-Range Applications

Pilot Operated Servo Proportional DC Valve Series D*1FP

COMPARISON OF THE EFFECT OF FILTER DESIGNS ON THE TOTAL HARMONIC DISTORTION IN THREE-PHASE STAND-ALONE PHOTOVOLTAIC SYSTEMS

MULTILEVEL INVERTER TOPOLOGIES USING FLIPFLOPS

Section Thyristor converter driven DC motor drive

+5V Multiprotocol, Software-Selectable Cable Terminator

Power-Aware FPGA Logic Synthesis Using Binary Decision Diagrams

Pilot Operated Servo Proportional DC Valve Series D*1FP

Transcription:

21.5 A 1.1GHz Chrge-Recovery Logic Visvesh Sthe, Jung-Ying Chueh, Mrios Ppefthymiou University of Michign, Ann Aror, MI Boost Logic is chrge-recovery circuit fmily cple of operting t GHz-clss frequencies [1]. In this pper, the design nd experimentl vlidtion of 1.1GHz Boost Logic test chip is reported. The test chip is fricted in 0.13µm CMOS process with n integrted inductor nd n on-chip clock genertor. This chip is n implementtion of dynmic chrge-recovery logic fmily operting successfully in the GHz regime. Previous chrge-recovery circuit implementtions with on-chip clock genertors hve een reported to operte t frequencies no greter thn 1MHz [2, 3, 4]. In ddition to fst opertion, Boost Logic chieves high energy efficiency due to its (i) lnced clock lod, (ii) decoupled logic evlution nd chrge-recovery stges, nd (ii) sence of diodes. This Boost Logic chip recovers 60% of the energy supplied to it t ech clock cycle. Figure 21.5.1 shows Boost Logic gte. It consists of dul-ril logic stge tht opertes in tndem with chrge-recovering oost stge. The logic stge performs functionl evlution nd is powered y dc supply V C = V dd V ss = V th, centered t V dd /2. The Boost stge then mplifies the potentil difference etween nd to V dd. Figure 21.5.2 illustrtes the opertion of Boost inverter. During the oost stge, the heder nd footer trnsistors of the logic stge re off, isolting the puts from the logic rils. As φ(φ) trnsitions to V ss (V dd ), trnsistor M3 (M1) dischrges (chrges) the put node (). The put nodes trck the power-clocks until their potentil difference reches V th, t which time ll trnsistors in the oost stge re in cut-off nd remin so during the susequent logic stge. As φ flls elow V ss, logic evlution egins, resolving the put nodes to potentil difference of nerly V th, nd s φ crosses V dd, the oost stge drives the put nodes to the full ril. By relying on resonnce to drive the put nodes to voltge difference V dd, the oost stge efficiently provides high gte overdrive to fn logic stges. Although V C = V th, ll trnsistors conduct in the superthreshold liner region due to this high gte overdrive. Fricted in 0.13µm 8M (copper, 2 thick) 1.2V CMOS process, this test chip consists of 8 gte chins with totl of 1680 Boost gtes comprising AND, OR, XOR nd INV. The logic gtes nd clock genertor occupy totl re of 315 320µm 2. Complementry resonnt clocks φ nd φ re generted with n H- ridge topology. Pulses nd t 180 re derived from reference clock nd drive the clock genertor switches in tndem, periodiclly replenishing dissipted energy in the system. The frequency f of the reference clock is progrmmle in the rnge 700MHz f 1.3GHz. The resonnt clocks oscillte t the reference frequency f. For efficient opertion, f should e ner the nturl frequency f 0 1/ 2 LC where C is the totl cpcitnce resonted with inductnce L. To explore the impct of design trde-offs on energy efficiency, the clock genertor is designed with progrmmle switch widths (0µm<W<0µm) nd pulse duty cycle (0%<D<50%). The GHz frequency trget necessittes the deployment of n integrted inductor in chrge-recovery logic design. A 2.4nH 2.5-turn inductor is included in two top-level metl lyers to resonte 29pF (per-phse) of clock cpcitnce. Figure 21.5.4 shows mesured supply current nd corresponding energy dissiption versus operting frequency for the test chip. Reported energy-dissiption numers include the energy dissipted in the resonnt network, clock genertor, nd clock genertor switches. Ech point in the plot corresponds to the minimum supply current or the energy dissiption of the circuit over ll possile V dd, V C, D, nd W vlues tht result in correct opertion, s verified y oserving the required signture wveform. The minimum current is mesured t 800MHz. The corresponding energy dissiption, is expectedly higher t 850MHz. The lod cn e nevertheless driven t frequencies ove t the expense of dditionl energy dissiption. By scling the supply voltge to 1.5V, correct opertion t 1.3GHz is verified. When resonting t 850MHz, the circuit recovers 60% of the pek energy stored in the system, which trnsltes to Q fctor of pproximtely 3.93. By forcing the circuit to operte t 1GHz, the recovery drops to %. Figure 21.5.5 shows the mesured energy dissiption s function of V C nd V dd. The optiml V C results from the trdeoff etween improved recovery efficiency t high V C nd lower conventionl energy dissiption t lower V C. The optiml V dd rises from the trdeoff etween reduced I 2 R losses due to lower oscilltion mplitude t low V dd nd higher gte overdrive t high V dd, which enles efficient chrge recovery in fn gtes. At 850MHz, the minimum mesured energy dissiption is oserved for V c = 0.45V, V dd =1.4V, D = 22%, nd W = 2µm. The I 2 R dissiption losses in the clock network re replenished y periodiclly injecting current into the inductor. The mount of energy replenished in ech cycle is function of the replenishing switch width W nd the duty cycle of the pulse duty cycle D, shown in Fig. 21.5.3. Figure 21.5.6 shows the shmoo plot otined y vrying the two prmeters while ensuring correct opertion t 850MHz. Ech point in the plot signifies correct opertion for the corresponding pir (W, D). All points denoted y the sme symol fll within 4pJ-wide nd of mesured energy dissiption. The iso-energy nds illustrte the trdeoff etween W nd D. From this sctter plot it cn e inferred tht oth W nd D ply significnt role in controlling clock genertor dissiption. Figure 21.5.7 shows microgrph of the 1.1GHz chrge-recovery chip. Including the mot round it, the 2.4nH on-chip inductor occupies 0.078mm 2. Inductor size decreses for higher operting frequencies or lrger designs. The complementry clock phses, φ nd φ, re red from opposite sides of the inductor with min trunk for ech phse running long the length of the chins. Alternting shielded spines of φ nd φ re striped cross the ctive logic re with 16µm pitch. The implementtion of fully integrted GHz-clss chrge-recovery dynmic logic is presented. Voltge nd current mesurements indicte tht t the nturl frequency of the design, 60% energy recovery is chieved. Correct opertion is verified up to 1.3GHz. Acknowledgments: This reserch ws supported in prt y ARO under Grnt No. DAAD 19-03-1-0122. We thnk Snjy Pnt nd Dvid Roerts for help with design nd testing. References: [1] V. S. Sthe, et l., A GHz-Clss Chrge Recovery Logic, ISLPED, pp. 91-94, Aug., 2005. [2] S. Kim, et l., True Single-Phse Aditic Circuitry, Trnsctions on VLSI Systems, pp. 52-63, Fe., 2001. [3] D. Suvkovic, C. Slm, Two Phse Non-Overlpping Clock Aditic Differentil Cscode Voltge Switch Logic (ADCVSL), ISSCC Dig. Tech. Ppers, pp. 364-365, Fe., 2000. [4] D. Mksimovic, V. Oklodzij, B. Nikolic, nd K. Current, Clocked CMOS Aditic Logic with Integrted Single-Phse Power-Clock Supply, Trnsctions on VLSI Systems, Aug., 2000.

ISSCC 2006 / Ferury 7, 2006 / 3:45 PM inputs inputs LOGIC Vdd Vss M5 True Evlution Tree M6 Vdd M8 Complementry Evlution Tree M7 Vss BOOST Figure 21.5.1: Schemtic digrm of Boost-Logic gte with NMOS-only pulldown evlution trees. M1 M2 M4 M3 Voltges Boost Logic Boost 1.2 1.1 1000m 900m 800m 700m 600m 500m 0m 0m 200m 100m 0 1n 2n 3n 4n Time Figure 21.5.2: Simultion wveform of Boost-Logic inverter. 50 Energy Reference Clock 1/2f 1/f 1/f Progrmmle Dely Progrmmle Dely 2W W V dd 2.4nH V ss 2W W Progrmmle Schmitt Triggers reset Boost-Logic gte chins Signture Outputs Energy Dissiption per Cycle(pJ) 45 Current 20 Current(mA) 0.65 0.7 0.75 0.8 0.85 0.9 0.95 1 1.05 1.1 1.15 15 Operting Frequency (GHz) Figure 21.5.3: Boost-Logic test chip. Figure 21.5.4: Mesured current nd corresponding energy versus frequency. Mximum Energy Bnd (64 68pJ) 60 Energy per Cycle (pj) 55 50 45 Pulse Duty Cycle, D(%) Minimum Energy Bnd (32 36pJ) 0.3 0.4 V C (V) 0.5 0.6 1. 1.3 1. 1.4 V dd (V) 1.45 20 15 50 100 150 200 0 0 Clock Genertor Switch Width, W(m) Figure 21.5.5: Mesured energy dissiption s function of V C nd V dd. Figure 21.5.6: Shmoo plot of Boost chip.

Clock-genertor switches Boost-Logic gte chins Clock-genertor switches Progrmmle Schmitt triggers Figure 21.5.7: Die microgrph of Boost-Logic test chip.

LOGIC Vdd M5 BOOST inputs True Evlution Tree M1 M4 M6 Vss Vdd M8 M2 M3 inputs Complementry Evlution Tree M7 Vss Figure 21.5.1: Schemtic digrm of Boost-Logic gte with NMOS-only pulldown evlution trees.

1.2 Boost Logic Boost 1.1 1000m 900m 800m Voltges 700m 600m 500m 0m 0m 200m 100m 0 1n 2n 3n 4n Time Figure 21.5.2: Simultion wveform of Boost-Logic inverter.

V dd reset Boost-Logic gte chins 1/f 2W 2W 1/2f 1/f W 2.4nH W Progrmmle Dely V ss Reference Clock Progrmmle Dely Progrmmle Schmitt Triggers Signture Outputs Figure 21.5.3: Boost-Logic test chip.

50 Energy Current Energy Dissiption per Cycle(pJ) 45 20 Current(mA) 0.65 0.7 0.75 0.8 0.85 0.9 0.95 1 1.05 1.1 1.15 15 Operting Frequency (GHz) Figure 21.5.4: Mesured current nd corresponding energy versus frequency.

60 55 Energy per Cycle (pj) 50 45 0.3 0.4 V C (V) 0.5 0.6 1. 1.3 1. 1.4 V dd (V) 1.45 Figure 21.5.5: Mesured energy dissiption s function of V C nd V dd.

Mximum Energy Bnd (64 68pJ) Pulse Duty Cycle, D(%) Minimum Energy Bnd (32 36pJ) 20 15 50 100 150 200 0 0 Figure 21.5.6: Shmoo plot of Boost chip. Clock Genertor Switch Width, W(m)

Clock-genertor switches Boost-Logic gte chins Clock-genertor switches Progrmmle Schmitt triggers Figure 21.5.7: Die microgrph of Boost-Logic test chip.