Imaging for the next decade

Similar documents
Holistic View of Lithography for Double Patterning. Skip Miller ASML

EUVL getting ready for volume introduction

EUVL Scanners Operational at Chipmakers. Skip Miller Semicon West 2011

TWINSCAN XT:1950i Water-based immersion taken to the max Enabling fast, single-exposure lithography at sub 40 nm

EUV: Status and Challenges Ahead International Workshop on EUVL, Maui 2010

Bank of America Merrill Lynch Taiwan, Technology and Beyond Conference

EUV lithography: today and tomorrow

EUV Supporting Moore s Law

Competitive in Mainstream Products

Advanced Patterning Techniques for 22nm HP and beyond

ASML, Brion and Computational Lithography. Neal Callan 15 October 2008, Veldhoven

UV Nanoimprint Stepper Technology: Status and Roadmap. S.V. Sreenivasan Sematech Litho Forum May 14 th, 2008

DUV. Matthew McLaren Vice President Program Management, DUV. 24 November 2014

2008 European EUVL. EUV activities the EUVL shop future plans. Rob Hartman

Holistic Lithography. Christophe Fouquet. Executive Vice President, Applications. 24 November 2014

Optical Microlithography XXVIII

EUV lithography: status, future requirements and challenges

Metrology in the context of holistic Lithography

Status and challenges of EUV Lithography

Enabling Semiconductor Innovation and Growth

Optics for EUV Lithography

Shooting for the 22nm Lithography Goal with the. Coat/Develop Track. SOKUDO Lithography Breakfast Forum 2010 July 14 (L1)

Leadership Through Innovation Litho for the future

Multiple Patterning for Immersion Extension and EUV Insertion. Chris Bencher Distinguished Member of Technical Staff Applied Materials CTO group

R&D Status and Key Technical and Implementation Challenges for EUV HVM

MAPPER: High throughput Maskless Lithography

(Complementary E-Beam Lithography)

Update on 193nm immersion exposure tool

immersion optics Immersion Lithography with ASML HydroLith TWINSCAN System Modifications for Immersion Lithography by Bob Streefkerk

The future of EUVL. Outline. by Winfried Kaiser, Udo Dinger, Peter Kuerz, Martin Lowisch, Hans-Juergen Mann, Stefan Muellender,

Lithography on the Edge

Scope and Limit of Lithography to the End of Moore s Law

From ArF Immersion to EUV Lithography

IMEC update. A.M. Goethals. IMEC, Leuven, Belgium

Credit Suisse European Technology Conference 2008

Mask Technology Development in Extreme-Ultraviolet Lithography

High-NA EUV lithography enabling Moore s law in the next decade

22nm node imaging and beyond: a comparison of EUV and ArFi double patterning

TSMC Property. EUV Lithography. The March toward HVM. Anthony Yen. 9 September TSMC, Ltd

What s So Hard About Lithography?

Nikon EUVL Development Progress Update

450mm patterning out of darkness Backend Process Exposure Tool SOKUDO Lithography Breakfast Forum July 10, 2013 Doug Shelton Canon USA Inc.

450mm and Moore s Law Advanced Packaging Challenges and the Impact of 3D

Tutor43.doc; Version 8/15/03 T h e L i t h o g r a p h y E x p e r t (November 2003)

Sub-12nm Optical Lithography with 4x Pitch Division and SMO-Lite

Computational Lithography Requirements & Challenges for Mask Making. Naoya Hayashi, Dai Nippon Printing Co., Ltd

Optical Lithography. Keeho Kim Nano Team / R&D DongbuAnam Semi

2009 International Workshop on EUV Lithography

Light Source Technology Advances to Support Process Stability and Performance Predictability for ArF Immersion Double Patterning

Double Patterning Combined with Shrink Technique to Extend ArF Lithography for Contact Holes to 22nm Node and Beyond

Lithography Roadmap. without immersion lithography. Node Half pitch. 248nm. 193nm. 157nm EUVL. 3-year cycle: 2-year cycle: imec 2005

Reducing Proximity Effects in Optical Lithography

Progress in full field EUV lithography program at IMEC

TECHNOLOGY ROADMAP 2006 UPDATE LITHOGRAPHY FOR

5. Lithography. 1. photolithography intro: overall, clean room 2. principle 3. tools 4. pattern transfer 5. resolution 6. next-gen

Spring of EUVL: SPIE 2012 AL EUVL Conference Review

Imec pushes the limits of EUV lithography single exposure for future logic and memory

Closed Loop Registration Control (RegC ) Using PROVE as the Data Source for the RegC Process

PUSHING LITHOGRAPHY TO ENABLE ULTIMATE NANO-ELECTRONICS. LUC VAN DEN HOVE President & CEO imec

Immersion Lithography: New Opportunities for Semiconductor Manufacturing

Electron Multi-Beam Technology for Mask and Wafer Direct Write. Elmar Platzgummer IMS Nanofabrication AG

Public. Introduction to ASML. Ron Kool. SVP Corporate Strategy and Marketing. March-2015 Veldhoven

Benefit of ArF immersion lithography in 55 nm logic device manufacturing

Optical Maskless Lithography - OML

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. EE143 Ali Javey Slide 5-1

EUV Lithography Transition from Research to Commercialization

Section 2: Lithography. Jaeger Chapter 2. EE143 Ali Javey Slide 5-1

The future of lithography and its impact on design

Progress & actual performance of the Selete EUV1

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. The lithographic process

Process Optimization

TECHNOLOGY ROADMAP 2005 EDITION LITHOGRAPHY FOR

Decomposition difficulty analysis for double patterning and. the impact on photomask manufacturability

DSA and 193 immersion lithography

Lithography. International SEMATECH: A Focus on the Photomask Industry

Discovering Electrical & Computer Engineering. Carmen S. Menoni Professor Week 3 armain.

OPC Rectification of Random Space Patterns in 193nm Lithography

Next-generation DUV light source technologies for 10nm and below

NXE: 3300B qualified to support customer product development

16nm with 193nm Immersion Lithography and Double Exposure

EUV is progressing towards production

Scaling of Semiconductor Integrated Circuits and EUV Lithography

ASML s customer magazine

MICROCHIP MANUFACTURING by S. Wolf

Beyond Immersion Patterning Enablers for the Next Decade

A Closer Look at ASML. September 26-27, 2002

MICROBUMP LITHOGRAPHY FOR 3D STACKING APPLICATIONS

Intel Technology Journal

Optimizing FinFET Structures with Design-based Metrology

EUV Light Source The Path to HVM Scalability in Practice

5 th Annual ebeam Initiative Luncheon SPIE February 26, Aki Fujimura CEO D2S, Inc. Managing Company Sponsor ebeam Initiative

Newer process technology (since 1999) includes :

Litho Metrology. Program

Evaluation of Technology Options by Lithography Simulation

Comparative Study of Binary Intensity Mask and Attenuated Phase Shift Mask using Hyper-NA Immersion Lithography for Sub-45nm Era

Challenges of EUV masks and preliminary evaluation

ECSE 6300 IC Fabrication Laboratory Lecture 3 Photolithography. Lecture Outline

Towards an affordable Cost of Ownership for EUVL. Melissa Shell Principal Engineer & Program Manager, EUVL Research Components Research October 2006

TECHNOLOGY ROADMAP 2011 EDITION LITHOGRAPHY FOR

Analysis of Focus Errors in Lithography using Phase-Shift Monitors

Transcription:

Imaging for the next decade Martin van den Brink Executive Vice President Products & Technology IMEC Technology Forum 2009 3 June, 2009 Slide 1

Congratulations! ASML and years of making chips better Slide 2

20 years jointly pushing litho technology transitions 1989 1990 1991 1992 1993 1994 1995 1996 1997 1998 1999 2000 2001 2002 2003 2004 2005 2006 2007 2008 2009 2010 2011 /50 /60 /200 /70 /90 248 nm /300 /750 AT750 /900 193 nm /1100 /1100 MSVII 157 nm XT1250i XT1250 193 nm immersion XT1700i XT1900i The World s first exposure tools @ IMEC a-tool: ADT EUVL NXE3100 Slide 3

Agenda Litho strategy Litho equipment Extension of immersion through holistic lithography Double patterning Computational lithography supporting litho performance High productive metrology for litho control EUV Slide 4

Shrink continues Lithography keeps adding value (based on the average of multiple customers update april 09) 200 Logic / SRAM Resolution/half pitch, "Shrink" [nm] 100 80 60 50 40 30 20 DRAM NAND Flash Logic NAND 6 Transistor SRAM Cell k 1 0.40 ~ 0.44 DRAM k 1 0.30 ~ 0.35 k 1 0.27 ~ 0.30 1/1/15 1/1/14 1/1/13 1/1/12 1/1/11 1/1/10 1/1/09 1/1/08 1/1/07 1/1/06 1/1/05 1/1/04 1/1/03 1/1/02 * Note: Process development 1.5 ~ 2 years in advance updated 4/09 Slide 5 Year of production start*

Shrink appetite has been accelerated for NAND Based on moving 5 years average forecast from -1 to 4 yrs 22% 20% NAND R 2 = 0.808 18% Moore s Law Yearly shrink rate 16% 14% 12% Logic DRAM R 2 = 0.0175 R 2 = 0.1494 10% Jan-02 Jan-03 Jan-04 Jan-05 Jan-06 Jan-07 Jan-08 Jan-09 Year of production start* * Note: Process development 1.5 ~ 2 years in advance updated 04/09 Slide 6

Likely lithographic options k 1 = (half-pitch) * numerical aperture / wavelength Most likely Opportunity Unlikely Half pitch (nm) 100 65 45 32 22 16 11 Year 2005 2007 2009 2011 2013 2015 λ (nm) NA 248 0.93 0.34 193 0.93 0.31 Double patterning: CoO challange 1.20 0.40 0.28 Infrastructure challenge 1.35 Low k 1 challenge 0.31 0.22 0.15 13.5 0.25 0.59 0.41 0.32 0.52 0.38 0.40 0.47 0.33 With 248 nm 68 nm L&S With 193 nm DPT 22 nm L&S With EUV, 28 nm L&S Slide 7

The world of lithography equipment Photo-lithography fastest and most cost-effective chip production method 1,000 100 10 DVDs/s 100 DVDs/s 1000 DVDs/s T e r r a I n c o g n i t a Legend Markets > 1000M/yr Throughput [m 2 /hour] 10 1 1 DVDs/s 1/10 DVDs/s I C L i t h o g r a p h y W a t e r s Non-critical applications i-line KrF ArF, ArFi EUV Imprint 500-1000M/yr 100-500M/yr Market Scope Pixel rate in DVDs/second 1 DVD = 8.5 GB 0.1 E-beam M a s k M a k i n g IC Masks 0.01 10 µm 3 µm 1 µm 300 nm 100 nm 30 nm 10 nm Resolution Slide 8

Agenda Litho strategy Litho equipment Extension of immersion through holistic lithography Double patterning Computational lithography supporting litho performance High productive metrology for litho control EUV Slide 9

ASML Immersion Product Roadmap Available XT:1900Gi Resolution 40 nm Tool CDU < 1.5 nm SMO 6/5 nm Throughput 131/140 WPH 65 defects (ASML PDT) Available XT:1950Hi Resolution 38 nm CDU (3σ) < 1.1 nm SMO 4 nm Throughput 148 WPH 20 defects (ASML PDT) Q2-2009 NXT:1950i Resolution 38 nm CDU (3σ) < 1.1 nm SMO 3 nm Throughput 175 WPH 10 defects (ASML PDT) Q2-2010 NXT:1950i +PEP Resolution 38 nm CDU (3σ) < 1.1 nm SMO 3 nm Throughput 200 WPH 10 defects (ASML PDT) Performance enhancements 1) Improved overlay using segmented wafer table 2) Improved optics 1950 lens 3) Improved productivity/defectivity using new immersion hood 4) Faster stages Platform enhancements 1) New high-acceleration stages 2) Improved overlay using New stage position control Platform enhancements 1) Platform evolutionary field upgradeable performance Slide 10

Total defects 3x lower with new immersion hood 600mm/sec. scan-speed, 45 nm patterned defect test, TCX041 top-coat 80 Current IH defects < 65 /wafer New IH defects < 20 /wafer Immersion specific Printed particles Total defects per wafer 60 40 20 Micro sieve 0 w1 w3 w5 w7 w9 w2 w4 w6 w8 w10 Wafer Not linked with track KLA2800 metrology Slide 11

NXT wafer stage metrology impact on overlay Current stage metrology Current stage metrology Interferometer Interferometer 300 mm 300 mm stage stage Overlay [nm, 99.7%] 10 8 6 4 2 0 Y- coordinate X-coordinate Overlay: < 4 nm 1 2 3 4 5 6 7 8 9 10 11 System [#] (ArF 0.93NA) Improved metrology Grid plate <15 mm stage Overlay [nm, 99.7%] 10 8 6 4 2 0 Overlay: < 2 nm 1 2 3 4 5 6 7 8 9 10 11 Wafer [#] (prototype) Slide 12

Dual stages: maximize throughput & measurement time TWINSCAN delivers both throughput and performance Squeezed Sequential sequential operation operation swap measure step time scan time wafer measurement time is minimal! TWINSCAN NXT dual stage metrology operation chuck swap wafer metrology focus + align 80 pairs step time wafer exposure operation scan time 1. Overlay performance - metrology corrects wafer grid 2. High throughput - increased acceleration - chuck swap without H-bar - chuck swap without closing disk Time needed to expose a single 300mm wafer Slide 13

NXT + Fast allignment: SMASH GridAlign Improved overlay & maximum throughput On Product Overlay M+3S [nm] 10 8 6 4 2 0 scheme robust for grid deformations 6 parameters model REDUCE Noice of process effects 4 8 12 45 45 Number of alignment mark pairs MEASURED OVERLAY zone alignment (30 mm) radius minimum for 6 parameters typical usage NXT:1950i + SMASH NXT:1950i minimum for zone alignment 0 10 20 30 40 50 30% expected usage 60 70 Number of alignment mark pairs THROUGHPUT 100 80 60 40 20 0 Throughput [%] Slide 14

ASML system throughput roadmap drives CoO ATP throughput [WPH] 200 160 120 80 40 Wavelength Wafer size EUV 150 mm stepper Immersion ArF KrF i-line g-line 200 mm stepper 150 mm 200 mm 300 mm 200 mm scanner 0 1985 1990 1995 2000 2005 2010 Year of introduction 300 mm NXT 300 mm AT & XT EUV ADT XT:1900Gi EUV NXE Source: ASML Slide 15

Agenda Litho strategy Litho equipment Extension of immersion through holistic lithography Double patterning Computational lithography supporting litho performance High productive metrology for litho control EUV Slide 16

Holistic litho: low k1 application specific imaging enable Scanner tuning knobs DoseMapper for optimum CD Uniformity Correction Mask Enhanced Computational Lithography Mask OPC/RET Optimization GridMapper for minimizing Overlay grid residuals ImageTuner for Application specific lens setup Free form DOE/Flexible illuminator for Application specific illumination optimization Holistic lithography is the Application Improved integration Productivity, specific of wafer recipe i.e. lithography, Overlay Dose Mapper and computational Critical Recipe Dimension lithography Uniformity and metrology (CDU) to deliver Data improved productivity, Faster Recipe overlay and Application ramp up and critical dimension specific improved uniformity metrology (CDU) yield Metrology control loops Baseliner for Twinscan stability, matching and set-up + = Source -mask optimization Freeform illuminator/mask Pattern Matcher for consistency across installed base Slide 17

Agenda Litho strategy Litho equipment Extension of immersion through holistic lithography Double patterning Computational lithography supporting litho performance High productive metrology for litho control EUV Slide 18

Options to print below immersion single exposure limit Cost, complexity and cycle time *Wafer does not leave the exposure system between the two exposures *Wafer preferably does not leave the litho cell between the exposures Single exposure SE 45 nm *Wafer leaves litho cell for etch between the exposures Spacer DPT SPCR 32 nm Litho DPT - LELE LDPT 32 nm Litho DPT - LFLE LDPF 32 nm Double exposure DE 38 nm SiON /HM Etch Clean Strip Film Etch Metrology Develop Expose Top coat Resist BARC SiON / SiC Hard Mask Device film Si Slide 19

Litho double patterning introduction in 2009 Supported by NXT: high productivity and superior overlay First exposure Etch 32 nm Lines/96 nm Spaces Second exposure Final CD < 10% CD Etch 32 nm Lines/32 nm Spaces Slide 20

Spacer Double Patterning Side view Top view First exposure First exposure Sacrificial Spacer making Second exposure Trimming lines Etch Final CD < 10%CD Third exposure Larger features (e.g. landing pads) Slide 21

Double patterning requires better and more lithography Litho exposure equipment parameter as percentage of CD Single exposure Litho double patterning Spacer double patterning ΔCD 7% 3.5% 3% Overlay (depending on DFM) 20% 7% 7-20%* # mask steps 1 2 2-3 # process steps relative to single exposure 1 2 3-4 Application 2D, all 2D, all 1D, mainly memory * Depending on the amount of Design For Manufacturing effort Slide 22

Overlay requirements are about to accelerate Litho overlay [nm] 40 20 10 8 6 4 DRAM - 4F2 DRAM - 6F2 NAND-ReRAM NAND Logic 2009 2 1 100 80 60 40 30 20 Process node [nm] Limit of double patterning Slide 23

Agenda Litho strategy Litho equipment Extension of immersion through holistic lithography Double patterning Computational lithography supporting litho performance High productive metrology for litho control EUV Slide 24

Prediction Capabilities of Lithography Models The Only Relevant Definition of Model Accuracy Process window prediction Prediction accuracy Fitting accuracy Prediction accuracy Pattern prediction Scanner Optics extrapolation Prediction accuracy Slide 25

Predictive Modeling Requirements Calibration Prediction With limited gauges For real layouts E E With limited process conditions F For the entire process window F Slide 26

Super-FEM Calibration Methodology dose Model calibration conditions focus illumination Slide 27

Model Extrapolation Feasibility Prediction accuracy for detuned illuminator settings RMS(CD_model-CD_wafer) over 7 FEM conditions base model fit fitting rms (reference) extrapolation rms 1.6 rms (nm) 1.4 1.2 1 0.8 0.6 0.4 0.2 0 NA1.2, Ann 0.9/0.64 NA1.1, Ann 0.9/0.64 NA1.15, A 0.95/0.69 NA1.15, A 0.85/0.59 NA1.15, Quasar MODEL FIT MODEL EXTRAPOLATION Extrapolation accuracy allows OPC/Verification model calibration per process layer with illuminator tuning trough extrapolation per device Slide 28

Existing Model-Based Scattering Bar Placement Flow SRAF Guidance Map (SGM) used in sequential SMO Calculate the two dimensional cost function map Place scattering bars at the optimum positions of the map Run clean-up algorithm to satisfy MRC constraints OPC is applied only to the main target patterns Exposure Rule Focus Scattering bars are placed based on the SGM mapping Scatter bar placement does not change during OPC Scatter bar size can only decrease to satisfy MRC and OPC constraints Slide 29

Free form co-optimized source-mask optimization Co-Optimization of all mask features with the source Calculate the two dimensional cost function map Place scattering bar seeds at the optimum positions of the map Expand the seeds to form scattering bars as part of the OPC processing (co-optimization) Exposure Rule Focus Initial placement of scattering bars is determined base on cost function map Main pattern OPC and scattering bar size and placement are co-optimized Scatter bar size and placement maximize the process window Slide 30

Free-form co-optimized source-mask optimization Improved process window using Tachyon SMO Depth of Focus @ 6% Exposure Latitiude Depth of Focus (nm) 150 125 100 75 Sequential SMO Tachyon SMO Co-Optimization 4x node DRAM Contact layer XT:1900i, 1.35NA 6% AttPSM k1 < 0.35 50 Standard Standard Parametric Free-form DOE DOE DOE DOE Slide 31

Pattern Matcher full chip improves factory matching & yield 2D structure matching impacts factory yield FullChip targets baseline targets Source: TSMC; Chi-Yuan Shih et al, SPIE 2009 Slide 32

Agenda Litho strategy Litho equipment Extension of immersion through holistic lithography Double patterning Computational lithography supporting litho performance High productive metrology for litho control EUV Slide 33

Spacer litho control improves wafer CDU measurements of final 32 nm L/S using angular resolved scatterometry Uncontrolled S 1 S 2 L 1 L 2 S 1 3σ=3.92 S 2 3σ=3.46 3σ S 1 -S 2 = 6.06 3σ L1,L2=2.12 Controlled S 2 3σ=2.74 S 1 3σ=2.1 3σ S 1 -S 2 = 3.27 3σ L1,L2=1.99 SPIE09 7274-26 Slide 34

Agenda Litho strategy Litho equipment Extension of immersion through holistic lithography Double patterning Computational lithography supporting litho performance High productive metrology for litho control EUV Slide 35

Hynix: EUV is more cost effective at >30 wph Source: Hynix, SPIE 2009 Slide 36

Blank defect for memory compatible with R&D requirements (data scaled around actual measured defect size 50nm) defects/wafer Asahi Glas Hoya Today 10000 1000 100 10 1 DRAM/Flash Logic ok ~50x Data Source: Sematech, Sapporo 2007 Slide 37

Complete source integration achieved in Q2/09 year integrated hardware perfomance Test Bench Data Prototype Pilot H2/07 H1/08 H2/08 Q1/09 Q2/09 H2/09 vacuum system yes yes yes yes yes yes collector none none 1.6sr 5sr 5sr 5sr debris mitigation none none yes yes yes yes dose control none none none none 7.5% <1.5% burst length [ms] 1 1 1 400 400 400 power level [W] 35 25 20 15 15 100 duration [hrs] <0.001 1.5 8 10 50 >24 Now shipped to ASML power level demonstration [W] 35 25 20 60 100 200 Slide 38

EUVL roadmap: a single multi-generation platform For different optics suitable down to 16 nm Res 2008 2009 2010 2011 2012 2013 2014 2015 11 nm NXE: 3XX0 0.4 x NA 16 nm 22 nm NXE: 3350 NXE: 3300 same projection system, enhanced off-axis illumination 0.32 NA +off axis illumination, >180 wph 0.32 NA, 3 nm OVL, >150 wph* 27 nm NXE: 3100 0.25 NA, 4 nm OVL, 60 100 wph* ADT 0.25 NA, 8 nm OVL *At 10 mj/cm² preparation implementation volume production Targeted first shipment Q210 Slide 39

Extendibility of EUV down to sub 5 nm possible increasing apertures up to 0.7, wavelength reduction down to 6.8 nm using 13nm compatible optics Resolution, Depth of focus [nm] 40 35 30 25 20 15 10 5 0 2010 2011 2012 2013 2014 2015 Slide 40 2016 2017 Year 2018 2020 2021 2022 2023 0.8 0.7 0.6 0.5 0.4 0.3 0.2 0.1 0 k-factor, Aperture Resolution DOF@13 nm DOF@6.8nm k-factor@13nm Aperture@13nm k-factor@6.8nm Aperture@6.8nm

NXE Throughput vs Dose vs Power Roadmap 200 Throughput (WPH) 180 160 140 120 100 80 60 40 20 NXE:3300 22nm, 200 W, 2012 NXE:3100 27nm, 200 W, 2011 NXE:3100 27nm, 100 W, 2010 Current best EUV resist dose range NXE:3350 16 nm, >400W, 2013 5 10 15 20 25 30 35 40 2 Exposure Dose (mj/cm ) Intel, Lake Tahoe 30 nm hp, 8.7mJ SPIE/Lake Tahoe 22 nm hp, <20mJ Slide 41

EUV Model-Based proximity and flare OPC Improvements in CD Statistics for 32 nm Poly Layer Count CD Error for 32nm Horizontal Lines 7.E+05 Correction No Correction 6.E+05 5.E+05 4.E+05 3.E+05 2.E+05 1.E+05 0.E+00-1.0-0.5 0.0 0.5 1.0 1.5 2.0 2.5 3.0 CD Error (nm) Correction for proximity and flare effects results in: centering distribution at CD error=0 reducing std dev by 4X from 0.160 to 0.045 nm 0.25 NA, 0.5 sigma annular, 10 nm resist blur CD measurements made at every 5 nm along all horizontal gates OPE & Flare correction Orientation Measurement Count Mean (nm) Maximum CD (nm) Minimum CD (nm) Std Dev (nm) Mean Error + 3s (nm) Horizontal 32nm Horizontal 32nm No 1,059,678 33.63 (-1.63) 34.44 32.39 0.160 2.10 (6.56%) Yes 1,059,678 32.01 (+0.01) 32.44 31.80 0.045 0.145 (0.45%) Slide 42

Summary 25 years cooperation between IMEC and ASML facilitated a continuous shrink in semiconductors scaling its volume and applications 193 nm extensions down to 20 nm half pitch using double patterning requires: > 200 W/hr, < 4nm overlay and tight CD control litho Holistic approach optimizing mask and litho equipment facilitating fast ramp up and higher yield EUV is the cost effective successor of 193 nm below 20 nm With shrinking capability well below 10 nm at lower cost than double pattering Transparent transition to EUV possible using calibrated computational litho Slide 43

Slide 44