An EM-aware methodology for a high-speed multi-protocol 28Gbps SerDes design with TSMC 16FFC

Similar documents
EDA Toolsets for RF Design & Modeling

Hot Topics and Cool Ideas in Scaled CMOS Analog Design

Fall 2017 Project Proposal

An Example Design using the Analog Photonics Component Library. 3/21/2017 Benjamin Moss

Si Photonics Technology Platform for High Speed Optical Interconnect. Peter De Dobbelaere 9/17/2012

Low power SERDES transceiver for supply-induced jitter sensitivity methodology analysis

True Differential IBIS model for SerDes Analog Buffer

A 6 th Order Ladder Switched-Capacitor Bandpass Filter with a center frequency of 10 MHz and a Q of 20

ASIC Computer-Aided Design Flow ELEC 5250/6250

Evaluation of Package Properties for RF BJTs

Using Sonnet EM Analysis with Cadence Virtuoso in RFIC Design. Sonnet Application Note: SAN-201B July 2011

It s a matter of tradition. RAPID WHOLE - CHIP RF MODELING ñ Inductance-aware RFIC design

Mixed Signal Virtual Components COLINE, a case study

DATASHEET CADENCE QRC EXTRACTION

EM Analysis of RFIC Inductors and Transformers. Dr.-Ing. Volker Mühlhaus Dr. Mühlhaus Consulting & Software GmbH, Witten

VLSI Chip Design Project TSEK01

Fast Estimation and Mitigation of Substrate Noise in Early Design Stage for Large Mixed Signal SOCs Shi-Hao Chen, Hsiung-Kai Chen, Albert Li

Overview and Challenges

Electrical Test Vehicle for High Density Fan-Out WLP for Mobile Application. Institute of Microelectronics 22 April 2014

on-chip Design for LAr Front-end Readout

Signal Integrity Modeling and Simulation for IC/Package Co-Design

AMCHIP5 characterization tests

Dedication. To Mum and Dad

Experiences and Benefits of 16nm and 10nm FinFET Development

ECEN689: Special Topics in High-Speed Links Circuits and Systems Spring 2010

UT90nHBD Hardened-by-Design (HBD) Standard Cell Data Sheet February

Relationship Between Signal Integrity and EMC

A Top-Down Microsystems Design Methodology and Associated Challenges

Getting to Work with OpenPiton. Princeton University. OpenPit

VLSI Chip Design Project TSEK06

SV2C 28 Gbps, 8 Lane SerDes Tester

Amber Path FX SPICE Accurate Statistical Timing for 40nm and Below Traditional Sign-Off Wastes 20% of the Timing Margin at 40nm

MHz phase-locked loop

Design for MOSIS Educational Program (Research)

100 Gb/s: The High Speed Connectivity Race is On

MP 4.3 Monolithic CMOS Distributed Amplifier and Oscillator

LSI and Circuit Technologies of the SX-9

Signal Integrity Design of TSV-Based 3D IC

ECEN 720 High-Speed Links: Circuits and Systems

2.5D & 3D Package Signal Integrity A Paradigm Shift

ISSCC 2006 / SESSION 4 / GIGABIT TRANSCEIVERS / 4.1

Figure 1. Inductance

Analog-aware Schematic Synthesis

CS250 VLSI Systems Design. Lecture 3: Physical Realities: Beneath the Digital Abstraction, Part 1: Timing

F O U N D R Y L E A D E R S H I P F O R T H E S o C G E N E R A T I O N. Mixed-Signal/RFCMOS

Efficient Multi-domain ESD Analysis and Verification for Large SoC Designs

Optimization of Wafer Level Test Hardware using Signal Integrity Simulation

Synthesis of Optimal On-Chip Baluns

A 5-Gb/s 156-mW Transceiver with FFE/Analog Equalizer in 90-nm CMOS Technology Wang Xinghua a, Wang Zhengchen b, Gui Xiaoyan c,

Features. Ordering Information

On Chip Active Decoupling Capacitors for Supply Noise Reduction for Power Gating and Dynamic Dual Vdd Circuits in Digital VLSI

High-speed Serial Interface

12-bit 140 MSPS IQ DAC

Bridging the Measurement and Simulation Gap Sarah Boen Marketing Manager Tektronix

1.2 Gbps LVDS transmitter/receiver

High-Performance Analog and RF Circuit Simulation using the Analog FastSPICE Platform at Columbia University. Columbia University

High-Speed Interconnect Technology for Servers

RoHS compliant 850 nm Multi-mode Transceiver (1000BASE-SX) 2 5, LC Duplex Connector, 3.3 V Gbd Fiber Channel/1.25 Gigabit Ethernet

ECEN 720 High-Speed Links Circuits and Systems

SUBSTRATE NOISE FULL-CHIP LEVEL ANALYSIS FLOW FROM EARLY DESIGN STAGES TILL TAPEOUT. Hagay Guterman, CSR Jerome Toublanc, Ansys

Taking the Mystery out of Signal Integrity

ICE of silicon. [Roza] Computational efficiency [MOPS/W] 3DTV. Intrinsic computational efficiency.

A 24Gb/s Software Programmable Multi-Channel Transmitter

High-Speed Transceiver Toolkit

Packaged mm-wave GaN, GaAs and Si ICs for 5G and automotive radar

ANSYS CPS SOLUTION FOR SIGNAL AND POWER INTEGRITY

OIF CEI 6G LR OVERVIEW

ECEN689: Special Topics in High-Speed Links Circuits and Systems Spring 2012

High-Speed Link Tuning Using Signal Conditioning Circuitry in Stratix V Transceivers

ISSCC 2006 / SESSION 13 / OPTICAL COMMUNICATION / 13.2

PARAMETER SYMBOL MIN MAX UNITS NOTE

Silicon Photonics Transceivers for Hyper Scale Datacenters: Deployment and Roadmap

UFS v2.0 PHY and Protocol Testing for Compliance. Copyright 2013 Chris Loberg, Tektronix

Design of VCOs in Global Foundries 28 nm HPP CMOS

EMI Reduction on an Automotive Microcontroller

To learn statistical bit-error-rate (BER) simulation, BER link noise budgeting and using ADS to model high speed I/O link circuits

Reducing Development Risk in Communications Applications with High-Performance Oscillators

How to anticipate Signal Integrity Issues: Improve my Channel Simulation by using Electromagnetic based model

The wireless industry

ADI 2006 RF Seminar. Chapter II RF/IF Components and Specifications for Receivers

Electronics Development for psec Time-of. of-flight Detectors. Enrico Fermi Institute University of Chicago. Fukun Tang

Through-Silicon-Via Inductor: Is it Real or Just A Fantasy?

Bridging the Gap between System & Circuit Designers

Noise Constraint Driven Placement for Mixed Signal Designs. William Kao and Wenkung Chu October 20, 2003 CAS IEEE SCV Meeting

--- An integrated 3D EM design flow for EM/Circuit Co-Design

!!!!!!! KANDOU S INTERFACES! FOR HIGH SPEED SERIAL LINKS! WHITE PAPER! VERSION 1.9! THURSDAY, MAY 17, 2013!!

ASICs Concept to Product

CDR in Mercury Devices

1.25Gbps Single Fiber Bi-directional SFP, ONU Transceiver

20Gb/s 0.13um CMOS Serial Link

Fractional- N PLL with 90 Phase Shift Lock and Active Switched- Capacitor Loop Filter

Microelectronic sensors for impedance measurements and analysis

A CMOS UWB Transmitter for Intra/Inter-chip Wireless Communication

Schematic and Layout Simulation Exercise

Programmable LVDS Transmitter/Receiver SPECIFICATION

Wide band 3GHz-6GHz phase-locked loop

22. VLSI in Communications

AXGE Gbps Single-mode 1310nm, SFP Transceiver

Functional Verification of CSI-2 Rx-PHY using AMS Co-simulations

Source: Nanju Na Jean Audet David R Stauffer IBM Systems and Technology Group

Transcription:

An EM-aware methodology for a high-speed multi-protocol 28Gbps SerDes design with TSMC 16FFC Bud Hunter, SerDes Analog IC Design Manager, Wipro Kelly Damalou, Sr. Technical Account Manager, Helic TSMC San Jose OIP Ecosystem Forum September 2017

Agenda The SerDes design: Description and Challenges Design methodology Metrics and silicon results Helic methodology benefits 2

Wipro/NXP SerDes IP History with Helic Wipro High-Speed SerDes Analog & Mixed-signal Design Team develops IP for the NXP Digital Networking business unit Longstanding relationship with Helic Continuous improvements to the Helic tool suite Multiple generations of successful first-pass SerDes IP families for NXP: 10G SerDes 28nm TSMC 28G SerDes 16nm FinFET TSMC Silicon-proven 28Gbps SerDes system with simultaneous multi-lane and multiprotocol support: IP integrates 8 data lanes and 2 PLL lanes containing 4 LC voltage controlled oscillators (LC-VCOs) that support data rates from 28G to 16G, 10G, 5G and below Implemented with TSMC s 16nm FinFet process 3

Wipro/NXP SerDes IP History with Helic Helic introduced in the design flow Helic used extensively across the chip 4

EM challenges in Wipro/NXP 28Gbps SerDes IP SerDes design at data rates as high as 28G requires highly accurate and efficient electromagnetic modeling for: Differential inductors and Tcoils Global clock distribution networks Wipro integrated 15 inductive structures that required accurate EM modeling: 4 LC-VCO inductors 2 Transmitter Tcoils for bandwidth extension and return loss 6 Receiver inductors for gain stages in the high speed path 1 Receiver inductor placed under a bump for input return loss 1 Receiver Tcoil for output buffer bandwidth extension A 4800µm global clock distribution network 5

Wipro/NXP 28Gbps SerDes Design Case LC-VCOs (VeloceRF & RaptorX) Clock Generator PLLF PLLS Sync. Detect Lane Sync Spread Spectrum Clock distribution network (RaptorX) x 8 sd_(m)_rx_p sd_(m)_rx_n Rx Filters (VeloceRF & RaptorX) sd_(m)_tx_p sd_(m)_tx_n Tx Filters (VeloceRF & RaptorX) On-chip Termination Calibration Offset/BLW/CM Correction On-chip Termination Calibration TX Swing Adjust Loopback Mode RX Adaptive Equalization Electrical Idle Detection 1 SerDes Lane(m) Clock and Data Recovery AC Scan JTAG Burn In Test TX Adaptive Equalization Data Serialization DPM 16 Typical SerDes System 8 Data Deserialization Elastic FIFO PRBS Checker PRBS Generator 16 Repeater Mode FIFO sd_(m)_rx_data [39:0] sd_(m)_tx_data [39:0] 6

Why Helic? High-capacity EM engine DRC-clean pcells with dummy fill Optimization of silicon real-estate Seamless flow integration in Cadence and NXP design flow Competitive extraction and simulation times 7

Helic Products in the SerDes Design Flow VeloceRF Advanced ANALOG IP/DEVICE SYNTHESIS Inductor, transformer and t-line synthesis & modeling tool. Instantiates ready-to-tape-out layouts and provides highly accurate SPICE models, silicon verified up to110ghz. Analog IP compiler platform RaptorX Advanced EM MODELING Novel electro-magnetic modeling software, pre-lvs back-annotation of model to Schematic. High capacity engine combined with highly accurate results and blazing fast modeling times are the core differentiating factors 8

Helic EM Methodology 9

Design Efficiency with VeloceRF Fast, Flexible Inductor Design Capability Rich inductor/transformer pcell library Fully integrated to common design platforms/tools Supports ICADV12.2 Fully compatible with LVS (Assura, PVS, Calibre, ICV) & Extraction (QRC, CalibreXRC, StarRC etc.) tools Silicon Accurate Hundreds of production tapeouts in all geometries (down to 7nm) In-house silicon characterization lab 10

RaptorX Custom Device flow Enables physical verification for custom passives, proprietary/legacy cells, arbitrary structures Supports any 3 rd party LPE flow For each Custom Device: Recognition layers are automatically added Layout, Schematic, Symbol and model views are saved in the design database 11

SerDes LC-VCO Methodology Magic Wand synthesis engine delivers inductor pcells for the four LC-VCOs based on user defined design constraints Helic pcell properties can be changed on the fly and quickly analyzed. Benefits for the LC-VCO designs: Improves initial concept development: multiple inductor analyzed and modified at once Saves significant amount of time vs. traditional iterative layout & extraction methods Improves efficiency of initial floorplanning and changes that impact the area footprint 12

Inductor performance comparison w/o leads w/ leads Overall L increases by ~25% @28GHz when leads inductance and coupling is taken into account 13

SerDes Receiver Methodology VeloceRF Magic Wand synthesis engine generates receiver high speed gain stage inductors. Inductors are customized and modeled using RaptorX Custom Device feature. Input return loss inductor is a special case due to being placed directly under the bump for area savings. Placing an inductor under a bump can have significant impact on its performance. Separate RaptorX Custom Device is modeled with the bump included to accurately capture the effects of the bump. 14

Tcoil performance comparison w/o bump w/ bump TCoil inductance is impacted by the presence of the bump 15

SerDes Transmitter Methodology Transmitter bandwidth extension and return loss Tcoils are 1. Generated with VeloceRF 2. Converted to RaptorX Custom Devices Additional effects from routing leads of Tcoils to the output driver are captured Relatively short metal routes can add a significant amount of inductance to the Tcoils and impact the Transmitter performance Routing leads could account for ~10% of the coil inductance 16

SerDes Global Clock Network Methodology The global clock distribution is a fully EM modeled with RaptorX Custom Device feature (> 4.5mm long). The transmission line delivers clock to the entire SerDes system up to 28GHz frequencies and must be modeled accurately. Traditional methods involve manually adding parasitic effects into an RC netlist which is prone to error and could result in performance failures or overdesign with respect to area and power. 17

Global Clock comparison Original Clk Clk with only RC parasitics Clk with full SoC EM parasitics Example of how the clock network signal is impacted by the EM model (vs. ideal and RC models) 18

Silicon results: Transmitter Eye Diagrams 28G 28G 25G 16G 19

Silicon results: Transmitter and Receiver Differential Return Loss 10dB Target Spec @ 14GHz 14GHz 10dB Target Spec @ 14GHz 14GHz TX DRL RX DRL 10dB DRL targets achieved in the 8-14GHz range in order to meet the 16G & 28G 6dB protocol specs 20

Helic methodology benefits Captures all electromagnetic effects (coupling & crosstalk) Models guard rings, dummy fill, custom routing, bump pads Efficient floorplanning Reduces silicon real-estate Shorter design cycle First-pass silicon No test vehicle chips required Saves thousands of $ 21