Fundamental Aspect of Photosensitized Chemically Amplified Resist How to overcome RLS trade-off

Similar documents
EUV Resist Materials and Process for 16 nm Half Pitch and Beyond

Novel EUV Resist Development for Sub-14nm Half Pitch

Optical Lithography. Keeho Kim Nano Team / R&D DongbuAnam Semi

Update on 193nm immersion exposure tool

Jung Sik Kim, Seongchul Hong, Jae Uk Lee, Seung Min Lee, and Jinho Ahn*

Imec pushes the limits of EUV lithography single exposure for future logic and memory

Status and Challenges for Multibeam DW lithography. L. PAIN CEA - LETI Silicon Technology Department

Critical dimension sensitivity to post-exposure bake temperature variation in EUV photoresists

R&D Status and Key Technical and Implementation Challenges for EUV HVM

TSMC Property. EUV Lithography. The March toward HVM. Anthony Yen. 9 September TSMC, Ltd

DSA and 193 immersion lithography

IMEC update. A.M. Goethals. IMEC, Leuven, Belgium

Photoresists & Ancillaries. Materials for Semiconductor Manufacturing A TECHCET Critical Materials Report

National Projects on Semiconductor in NEDO

Development of Nanoimprint Mold Using JBX-9300FS

Shooting for the 22nm Lithography Goal with the. Coat/Develop Track. SOKUDO Lithography Breakfast Forum 2010 July 14 (L1)

Progresses in NIL Template Fabrication Naoya Hayashi

Acceleration of EUV Resist Development with EB Tool

Line Width Roughness Control for EUV Patterning

Experimental measurement of photoresist modulation curves

immersion optics Immersion Lithography with ASML HydroLith TWINSCAN System Modifications for Immersion Lithography by Bob Streefkerk

Electron Multi-Beam Technology for Mask and Wafer Direct Write. Elmar Platzgummer IMS Nanofabrication AG

KrF EXCIMER LASER LITHOGRAPHY TECHNOLOGY FOR 64MDRAM

Advancements in shorter wavelength LED technology and its impact on UV curing applications.

Oxime Sulfonate Chemistry for Advanced Microlithography

EUV Resists: Pushing to the Extreme

EUV Interference Lithography in NewSUBARU

Computational Lithography Requirements & Challenges for Mask Making. Naoya Hayashi, Dai Nippon Printing Co., Ltd

PROCEEDINGS OF SPIE. LPP-EUV light source for HVM lithography. T. Saito, Y. Ueno, T. Yabu, A. Kurosawa, S. Nagai, et al.

IMAGINE: an open consortium to boost maskless lithography take off First assessment results on MAPPER technology

Multi-Beam activity from the 1980s. Apr 18, 2013 Panel Discussion Photomask Japan 2013

PHOTOPOLYMER FOR RECORDING HOLOGRAMS. Hideo Tanigawa, Taichi Ichihashi, and Takashi Matsuo*

Shot noise and process window study for printing small contacts using EUVL. Sang Hun Lee John Bjorkohlm Robert Bristol

Title detector with operating temperature.

Results of Proof-of-Concept 50keV electron multi-beam Mask Exposure Tool (emet POC)

Lithography. Development of High-Quality Attenuated Phase-Shift Masks

Micro- and Nano-Technology... for Optics

Business Unit Electronic Materials

EE143 Fall 2016 Microfabrication Technologies. Lecture 3: Lithography Reading: Jaeger, Chap. 2

Negative tone development process for double patterning

Copyright 2000 by the Society of Photo-Optical Instrumentation Engineers.

EUV lithography: status, future requirements and challenges

UV-dose indicator formulations as paint-onphotodetectors: way to optimize the UV curing process

Benefit of ArF immersion lithography in 55 nm logic device manufacturing

Lithographic Performance and Mix-and-Match Lithography using 100 kv Electron Beam System JBX-9300FS

Resist Process Window Characterization for the 45-nm Node Using an Interferometric Immersion microstepper

Light Source Technology Advances to Support Process Stability and Performance Predictability for ArF Immersion Double Patterning

PML2 Projection. Lithography. The mask-less electron multi-beam solution for the 22nm node and beyond. IMS Nanofabrication AG

Semiconductor Manufacturing Technology. Semiconductor Manufacturing Technology. Photolithography: Resist Development and Advanced Lithography

Contrast Enhancement Materials CEM 365iS

Bank of America Merrill Lynch Taiwan, Technology and Beyond Conference

GLOSSARY OF TERMS. Terminology Used for Ultraviolet (UV) Curing Process Design and Measurement

Critical issue of non-topcoat resist for ultra low k 1 lithography

Newer process technology (since 1999) includes :

Introduction of ADVANTEST EB Lithography System

Reducing Proximity Effects in Optical Lithography

Section 2: Lithography. Jaeger Chapter 2. EE143 Ali Javey Slide 5-1

The Effect of He-Ne and Diode Lasers on the Electrical Characteristics of Silicon Diode

Improved Radiometry for LED Arrays

EXPOSURE TIME COMPARISON BETWEEN E-BEAM WRITER WITH GAUSSIAN BEAM AND VARIABLE SHAPED BEAM

UV LED ILLUMINATION STEPPER OFFERS HIGH PERFORMANCE AND LOW COST OF OWNERSHIP

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. The lithographic process

From ArF Immersion to EUV Lithography

Corporate Introduction of CRESTEC CORPORATION Expert in E-Beam Nanofabrication

Micro- and Nano-Technology... for Optics

EUV Light Source The Path to HVM Scalability in Practice

Lithography Simulation Tools Needed for 22nm HP and Beyond. Chris Mack

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. EE143 Ali Javey Slide 5-1

Photolithography. References: Introduction to Microlithography Thompson, Willson & Bowder, 1994

Module 11: Photolithography. Lecture11: Photolithography - I

Comprehensive Simulation of E-beam Lithography Processes Using PROLITH/3D and TEMPTATION Software Tools

Holistic View of Lithography for Double Patterning. Skip Miller ASML

Applications for Mask-less E-Beam Lithography between R&D and Manufacturing

EUV lithography: today and tomorrow

William Reiniach 5th Year Microelectronic Engineering Student Rochester Institute of Technology

Defect printability of thin absorber mask in EUV lithography with refined LER resist

Lecture 7. Lithography and Pattern Transfer. Reading: Chapter 7

Contrast Enhancement Materials CEM 365HR

OPC Scatterbars or Assist Features

Scope and Limit of Lithography to the End of Moore s Law

Light Sources for EUV Mask Metrology. Heiko Feldmann, Ulrich Müller

Sub-50 nm period patterns with EUV interference lithography

Module 11: Photolithography. Lecture 14: Photolithography 4 (Continued)

Near-field optical photomask repair with a femtosecond laser

EUVL: Challenges to Manufacturing Insertion

POWER DETECTORS. How they work POWER DETECTORS. Overview

Towards an affordable Cost of Ownership for EUVL. Melissa Shell Principal Engineer & Program Manager, EUVL Research Components Research October 2006

Lecture 8. Microlithography

SEMATECH Defect Printability Studies

Mirror-based pattern generation for maskless lithography

Multiple Patterning for Immersion Extension and EUV Insertion. Chris Bencher Distinguished Member of Technical Staff Applied Materials CTO group

EUVL getting ready for volume introduction

Lithography Roadmap. without immersion lithography. Node Half pitch. 248nm. 193nm. 157nm EUVL. 3-year cycle: 2-year cycle: imec 2005

(Complementary E-Beam Lithography)

Polymers and Nanotechnology

Evaluation of Technology Options by Lithography Simulation

Brillia HD Pro-T3. A new era in print demands a new era in platemaking. A huge global user base. The most advanced printing plate ever

State-of-the-art device fabrication techniques

Development of a LFLE Double Pattern Process for TE Mode Photonic Devices. Mycahya Eggleston Advisor: Dr. Stephen Preble

A Novel Resist Freeze Process for Double Imaging

Transcription:

Fundamental Aspect of Photosensitized Chemically Amplified Resist How to overcome RLS trade-off Seiichi Tagawa, Akihiro Oshima (Osaka University), Seiji Nagahara (Tokyo Electron Ltd.), Hisashi Nakagawa (JSR), Cong Que Dinh, Shigehiro Nishijima (Osaka University), Takehiko Naruoka, Tomoki Nagai (JSR), Gosuke Shiraishi, Yuichi Terashita, Yukie Minekawa, Kosuke Yoshihara (Tokyo Electron Kyushu Ltd.), Michael Carcasi (Tokyo Electron America, Inc.)

Critical Problems of Next Generation EUV Lithography 1. The most important critical issue of EUV lithography is the weak intensity of EUV source. 2. The resist sensitivity and the exposure light intensity are complimentary. Therefore high sensitization of EUV resists is required. 3. However, dramatic enhancement of resist sensitivity is widely confirmed to be difficult due to RLS trade-off problem. Resolution LWR 2 Sensitivity

1 Exposure (Tool) Solution of RLS Trade-off Problem Simulations: G.M. Gallatin, Proc. SPIE (2005), (no fundamental differences in simulations among ArF, EB and EUV resists after latent acid image formation.) 2Interaction of EUV with resists 3 Accumulated energy profiel 4 Acid generation 5 Latent acid image 6 Acid diffusion, deprotection reaction 7Acid catalyzed image (Latent image after PEB) Resist Pattern Formation Processes of EUV CARs A review paper: Kozawa and Tagawa, (2010) 8 Development 9Resist pattern formation 1010Other Treatments: Vapor smoothing, Hardbake, Ectching, Ozonation, etc. New Process: Reconsideration of acid generation mechanisms 4 Radiation chemistry Radiation chemistry + Photochemistry

Concentration of acid and PS Concentration of acid and PS New process: PSCAR A very new high resist sensitization process by the combination lithography of EUV or EB pattern exposure with UV flood exposure of Photosensitized Chemically Amplified Resist TM (PSCAR TM ) was proposed at Osaka University in 2013. (S.Tagawa et al., J.Photoplm. Sci. Tech. 26, 825 (2013) 1. The first EUV pattern exposure produces photosensitizers (PSs). 2. Resist has no absorption band at the second flood exposure light wavelength. Therefore, no reaction of resist occurs by only the second flood exposure. 3. Only PSs have absorption bands at the second flood exposure wavelength. New Process: Combination of radiation chemistry with photochemistry (S.Tagawa et al., J.Photoplm. Sci. Tech. 26, 825 (2013) (1 st exposure) low power pattern exposure generation of photosensitizer (PS) and acid acid PS (2 nd exposure) high intense UV flood exposure produces huge amount of acid acid PS Photosensitized acid generation reactions at room temperature

Concentratio n of acid Breakthrough of RLS trade-off Resolution (A) (B) (1) RLS trade-off LWR (2) Distribution and yield of latent acid image (B) Sensitivity Schematic drawing of (1) RLS trade-off (2) initial distributions and yields of acid. If initial acid yield increases from (A) to (B) with the same distribution, RLS trade-off is improved from (A ) to (B). (S. Tagawa, SPIE Newsroom, 13 March 2014) The higher concentration of quencher can be used at same resist sensitivity. Then, the higher chemical gradient can be obtained. (A)

fluctuation of sensitivity enhancement of PSCAR containing PP-2 In SPIE Advanced Lithography 2016, Osaka University, TEL Group, JSR, PSI, ASML reported 5 oral presentations on PSCAR. PSCAR enabled good with various CAR systems, especially PSCAR containing PP-2 made by JSR enabled the highest UV flood sensitization for 30 nm dense CH (contact hole) patterning by EBL at Osaka University. Three papers on EUV-IL at PSI of PSCAR showed faster EUV photospeed with UVflood exposure, promising early proof-of-principle results of PSCAR, and sensitivity enhancement of PSCAR while the LWR and EL remain almost unchanged. PSCAR system can enhance sensitivity greatly with keeping high resolution (16 nm HP LS is demonstrated). The cause of some fluctuation of sensitivity enhancement of PSCAR containing PP-2 among different experimental sites has been investigated. The solution accelerates the implementation of EUV lithography for HVM at the 7 nm node and beyond in addition to further PSCAR material improvement such as new PP material development and further resist formulation optimization.

2-2 One example of precursor(pp) of PS and PS PP-1 PS UV-Vis 365nm (Flood exposure) One example of PP and PS and their reaction and UV-Vis spectra 1. The first EUV pattern exposure produces photosensitizers (PSs). 2. Resist has no absorption band at the second flood exposure light wavelength. Therefore, no reaction of resist occurs by only the second flood exposure. 3. Only PSs have absorption bands at the second flood exposure wavelength.

Exposure tools Pattern exposure (EBL) Elionix ELS-100T (125 kev) I-215 clean room (Class 1000) 80 m 2 Flood exposure (UV) UV light source Sample 4 inch wafer

120 125keV EBL dose E 0 ( C/cm 2 ) 100 80 60 40 20 0 0 5 10 15 20 25 LED dose (J/cm 2 ) E 0 (EB dose) in 1 st 125 kev EB exposure and 2 nd 365 nm LED flood exposure.365 nm LED flood exposure intensity: 10 mw/cm 2 PSCAR in my present talk is CAR + PP. The same CAR made by JSR was used in all experiments in my present talk. Only PP is different. PP-N is a precursor made by Osaka U. and almost same compound of PP-1. PP-2 is a precursor made by JSR and used in five oral presentations at SPIE Advanced lithography 2016. R O O O R PP-1 R '

PSCAR PP-N (a) EB only (b) EB D:320 μc/cm 2 (c) EB D: 280μC/cm 2, (d) EB D: 240μC/cm 2 D:340 μc/cm 2 2 nd UV flood exposure 2.4 J/cm 2 2 nd UV flood exposure 4.8 J/cm 2 2 nd UV flood exposure 9 J/cm 2 PSCAR PP-2 (a) EB only (b) EB D: 240 μc/cm 2 (c) EB D: 160μC/cm 2, 2 nd UV D:340 μc/cm 2 2 nd UV flood exposure 1.2 J/cm 2 flood exposure 1.8 J/cm2 (d) EB D: 120μC/cm 2 2 nd UV flood exposure 3.0 J/cm 2 Dense 30 nm hp CH, 125 kev EB pattern exposure, same PSCAR except for PP UV flood exposure (365 nm, 10mW/cm 2 ) PSCAR containing pp-2 has higher sensitivity enhancement rate and also sensitivity enhancement (>2.8x) for 30 nm hp dense CH patterning.

PSCAR PP-2 (a) EB only (b) EB D:360 μc/cm 2 (c) EB D: 340μC/cm 2, D:400 μc/cm 2 2 nd UV flood exposure 0.6 J/cm 2 2 nd UV flood exposure 1.2 J/cm 2 PSCAR PP-MA (a) EB only (b) EB D: 360 μc/cm 2 (c) EB D: 340μC/cm 2, 2 nd UV (d) EB D: 300μC/cm 2 D:380 μc/cm 2 2 nd UV flood exposure 3.0 J/cm 2 flood exposure 6.0 J/cm 2 2 nd UV flood exposure 9.0 J/cm 2 Dense 20nm CH, 125 kev EB pattern exposure, same PSCAR except for PP UV flood exposure (365 nm, 10mW/cm 2 ) The sensitivity enhancement rate of PSCAR containing PP-2 is larger than PSCAR containing PP-MA (Similar structure but slightly large molecule comparing PP-1 and PP-N). However, the sensitivity enhancement of PSCAR containing PP-2 is smaller than PSCAR containing PP-MA.

140 125keV EBL dose E 0 ( C/cm 2 ) 120 100 80 60 40 20 0 0 5 10 15 20 25 LED dose (J/cm 2 ) Relation between E0 of EB dose in 1 st 125 kev EB pattern exposure and 2 nd 365 nm LED flood dose. 365 nm LED flood exposure intensity: 10 mw/cm 2 and 40 mw/cm 2 This figure shows relation between E 0 (1 st 125 kev EB exposure dose) and 365 nm LED flood exposure dose. 365 nm LED exposure intensities are 10 mw cm -2 and 40 mw cm -2. There is clear post-exposure delay (PED) effect. PED effects are more significant for 10 mw cm -2 exposure than for 40 mw cm -2 exposure.

PSCAR PP-2 PSCAR PP-5 (a) EB only D:400 μc/cm2 (b) EB D: 260 μc/cm2, 2nd UV flood exposure 1.2 J/cm2 (c) EB D: 220 μc/cm2, 2nd UV flood exposure 1.8 J/cm2 (a) EB only D:440 μc/cm2 (b) EB EB: 260μC/cm2, 2nd UV flood exposure 6 J/cm2 (c) EB EB:200μC/cm2, 2nd UV flood exposure 8.4 J/cm2 PSCAR PP- MA (a) EB only D:380 μc/cm2 (b) EB D:240 μc/cm2, 2nd UV flood exposure 7.2 J/cm2 (c) EB D: 200μC/cm2, 2nd UV flood exposure 12 J/cm2 20 nm hp CH, 125 kev EB pattern exposure, PSCAR is the same except for PP, UV flood (365 nm, 40mW/cm2) Dense The sensitivity enhancement rate of PSCAR containing PP-2 is largest. However, the sensitivity enhancement of PSCAR containing PP-MA and PSCAR containing PP-5 is much higher than the sensitivity enhancement of PSCAR containing PP-2. In the case of PSCAR containing PP-2, pattern degradation depends on 2 nd UV flood exposure dose and 1 st EB pattern exposure dose, but the dependence of 2 nd flood exposure intensity is not clear.

Summary 1. At first, fundamental aspect of PSCAR and how to overcome RLS trade-off were explained. 2. The sensitivity enhancement rate of PSCAR containing PP-2 is largest among PSCARs. PSCAR containing PP-2 is very good for dense 30 nm hp CH patterning by EBL at Osaka University. 3. However, pattern degradation occurs at almost the same low 2 nd flood UV exposure dose (1. 2 J cm-2 ) for 10 mw cm-2 and 40 mw cm-2 flood exposure intensity for dense 20 nm hp CH patterning. There is clear intensity dependence of 2 nd flood exposure on PSCAR containing PP- 2, while it is smaller than PSCAR containing other PPs. 4. The sensitivity enhancement of dense 20 nm hp of CH patterning for PSCAR containing PP-2 is was lower than PSCAR containing some other PP. 5. There are at least 3 type of important reactions for dense 20 nm CH patterning. The first one concerns postexposure delay (PED) effects suffered from airborne contamination and depends strongly on 2 nd UV flood exposure intensity. The second one concerns pattern degradation and does not depend on 2 nd UV flood exposure intensity but depend on 2 nd UV flood exposure dose and EB pattern exposure dose. Third one concerns sensitivity enhancement of PSCAR and depends on 2 nd UV flood exposure intensity. 6. The causes of the fluctuation of sensitivity enhancement of PSCAR containing PP-2 among different experimental sites for higher resolution are due to many factors: environmental effects such as PED because of off-line flood exposure system, flood exposure intensity at the resist surface, sub-reactions such as pattern degradation except for sensitivity enhancement, etc..

Future Improvement Plan of PSCAR 1. The detailed reaction mechanisms including pattern degradation reactions should be made clear for further improvement of PSCAR processes and materials. 2. PED effects will be improved very much by well controlled in-line system because postexposure delay (PED) effects suffered from airborne contamination are very significant in off-line system. 3. Higher intensity of 2 nd UV flood exposure improves PSCAR processes very effectively for high resolution patterning than the intensity of flood exposure for EUV- IL experiment reported in SPIE Advanced Lithography 2016

Acknowlegement Members of collaboration partners A part of this work was supported by "Nanotechnology Platform Project (NOF in Osaka Univ.)" of Ministry of Education, Culture, Sports, Science and Technology (MEXT), Japan. SPIE Advanced Lithography 9779-78, 24 February 2016

Thank you for your kind attention. SPIE Advanced Lithography 9779-78, 24 February 2016