Doug Dunn ASML President and Chief Executive Officer Deutsche Bank Conference London, England September 19, / Slide 1

Similar documents
DUV. Matthew McLaren Vice President Program Management, DUV. 24 November 2014

EUV Supporting Moore s Law

Bank of America Merrill Lynch Taiwan, Technology and Beyond Conference

Competitive in Mainstream Products

Leadership Through Innovation Litho for the future

ASML Market dynamics. Dave Chavoustie EVP Sales Analyst Day, September 30, 2004

TWINSCAN XT:1950i Water-based immersion taken to the max Enabling fast, single-exposure lithography at sub 40 nm

Public. Introduction to ASML. Ron Kool. SVP Corporate Strategy and Marketing. March-2015 Veldhoven

Property right statement: Copyright of charts, tables and sentences in this report belongs to

A Perspective on Semiconductor Equipment. R. B. Herring March 4, 2004

Credit Suisse European Technology Conference 2008

21 st Annual Needham Growth Conference

4Q02 Update: Semiconductor Capacity Still on Hold

Holistic Lithography. Christophe Fouquet. Executive Vice President, Applications. 24 November 2014

Commercializing Innovation:

Briefing Session of Revisions to Consolidated Results Forecasts

Moving Boundaries Within Offshore Drilling Pareto's 18th annual Oil & Offshore Conference, Oslo, 31 th August 2011

2010 IRI Annual Meeting R&D in Transition

Pierre Brondeau Vice President, Business Group Executive Electronic Materials Regional Director - Europe Lehman Brothers Conference Call November

Enabling Semiconductor Innovation and Growth

Lithography Industry Collaborations

Proceedings. BiTS Shanghai October 21, Archive - Session BiTS Workshop Image: Zhu Difeng/Dollar Photo Club

EUV Light Source The Path to HVM Scalability in Practice

CLSA Investors Forum 2017

Economic & Real Estate Outlook. Tax Reform. Michigan 4/26/18

FY rd Quarter Financial Results

2005 First Quarter Presentation

Outlook for the World Paper Grade Pulp Market

450mm and Moore s Law Advanced Packaging Challenges and the Impact of 3D

GLOBAL RIG AND OFFSHORE CONSTRUCTION MARKETS

Market and technology trends in advanced packaging

Economic and Real Estate Market Outlook

Innovative Approaches in Collaborative Planning

The SEMATECH Model: Potential Applications to PV

Fan-Out Wafer Level Packaging Patent Landscape Analysis

Advancing Industry Productivity

Recreation Facility Hours

1Q 2016 Results. Mermaid Maritime Plc. May 23, 2016

Results for the Three-Month Period Ended June 30, 2013

450mm silicon wafers specification challenges. Mike Goldstein Intel Corp.

Nikon Medium Term Management Plan

BACCARAT: A LONGITUDINAL MICRO-STUDY

MAPPER: High throughput Maskless Lithography

Industrials China paper

The European Semiconductor industry: 2005 Competitiveness Report. DG Enterprise

It s Time for 300mm Prime

Bayport Securitisation (RF) Ltd Investor Report May 2013

NATIONAL INSTITUTE OF ECONOMIC AND SOCIAL RESEARCH ESTIMATES OF MONTHLY GDP. Embargo until hours on 11 th January 2013

Reference Materials Nine Month Period Ended December 31, 2018

We Value Your Business

450mm patterning out of darkness Backend Process Exposure Tool SOKUDO Lithography Breakfast Forum July 10, 2013 Doug Shelton Canon USA Inc.

2018 1Q IR PRESENTATION

TSMC Property. EUV Lithography. The March toward HVM. Anthony Yen. 9 September TSMC, Ltd

ISMI Industry Productivity Driver

Electronic Material Systems

FORESIGHT METHOD HORIZONS. Module. Introduction to Foresight for Canada Beyond 150

Financial Results Briefing Session

SEMICONDUCTOR INDUSTRY ASSOCIATION FACTBOOK

Lithography Roadmap. without immersion lithography. Node Half pitch. 248nm. 193nm. 157nm EUVL. 3-year cycle: 2-year cycle: imec 2005

The work underway across the business is beginning to take effect

Silicon Wafer Demand Forecast Update, 4Q03

Department of the Navy Perspective on Obsolescence Management

W ith development risk fully borne by the equipment industry and a two-year delay in the main

FY nd Quarter Financial Results

Intel Demonstrates High-k + Metal Gate Transistor Breakthrough on 45 nm Microprocessors

Real Estate Trends and Outlook

World Semiconductor Trade Statistics. An Introduction to WSTS

Housing Market Outlook

TEST (a) Write these numbers in order of increasing size. 12, 7, 15, 4, 1, 10, Circle all the odd numbers.

The Global Financial Crisis and Its Impact on China and East Asia

Bayport Securitisation (RF) Ltd Investor Report February 2013

The Development of the Semiconductor CVD and ALD Requirement

Manufacturing Case Studies: Copy Exactly (CE!) and the two-year cycle at Intel

Welcome Shareholders Annual Meeting. FY2014 March 1, 2013 February 28, 2014

Do Mid-Cap Private Equity Funds Have an Advantage in Today s Markets?

Half-Year Press Conference

The Maize Forum. 17 October Nico Hawkins General Manager

BAYPORT SECURITISATION (RF) LTD INVESTOR REPORT DECEMBER 2014

On Measuring Hyperinflation

The 20th Microelectronics Workshop Development status of SOI ASIC / FPGA

Active Cable TV Connections. Active Residential Cable Modem Customers. Page FIRST QUARTER REPORT

PATENT PROPERTIES ANNOUNCES SECOND QUARTER 2015 RESULTS. Announces Name Change to Walker Innovation Inc.

Financial Highlights. Letter to Shareholders. Annual Report

Second Quarter CY 2012 Results. August 2, 2012

SILICA OPTICAL WAVEGUIDE DEVICES

Site Surveys for Offshore Windfarms: How to Spend your Money Wisely in an Age of Austerity

Facing Moore s Law with Model-Driven R&D

IMEC update. A.M. Goethals. IMEC, Leuven, Belgium

Demonstration of PFR Improvement September ERCOT Operations Planning

Noel Technologies. Provider of Advanced Lithography and Semiconductor Thin Film Services

TAIWAN EXCHANGE STOCK NO : December 26,2018

Okayama Technology Center 7. TAZMO Apprecia Formosa Inc. 8. TAZMO Apprecia Electronics (Shanghai) Inc. 9

January 2018 Industrial Production

Sony IR Day Game & Network Services Segment. November 25, Andrew House

THE WAFER FAB CLEANS IN SEMICONDUCTOR INDUSTRY FROM A MATERIALS SUPPLIER PERSPECTIVE

August 7, 2014 Heiwa Corporation

2Q 2016 Results. Mermaid Maritime Plc. August 2016

Economic & Housing Outlook

1 st Quarter 2012 Results

Number patterns on a spreadsheet

Transcription:

Doug Dunn ASML President and Chief Executive Officer Deutsche Bank Conference London, England September 19, 2003 / Slide 1

Safe Harbor Safe Harbor Statement under the U.S. Private Securities Litigation Reform Act of 1995: the matters discussed during this presentation include forward-looking statements that are subject to risks and uncertainties including, but not limited to, economic conditions, product and pricing, manufacturing efficiencies, new products development, ability to enforce patents, availability of raw materials and critical manufacturing equipment, trade environment, and other risks indicated in filings with the U.S. Securities and Exchange Commission. September 2003 / Slide 2

Agenda Market Review Marketplace Strategy Financials Summary September 2003 / Slide 3

July semiconductor unit growth flat but ASP s are up... Semiconductor Sales 22 Semiconductors WW Dollars Semiconductors WW Dollars Seasonal Adjusted 40 20 Semiconductors WW Dollars 3MMA Semiconductors WW Units 18 16 14 12 10 8 6 Jan-97 May-97 Sep-97 Jan-98 May-98 Sep-98 Jan-99 May-99 Sep-99 Jan-00 May-00 Sep-00 Jan-01 May-01 Sep-01 Jan-02 May-02 Sep-02 Semiconductor Sales [B USD] Jan-03 May-03 Sep-03 Semiconductors WW Units Seasonal Adjusted Semiconductors WW Units 3MMA 35 30 25 20 Semiconductor Sales [B Units] 15 Source: WSTS, August 2003 September 2003 / Slide 4

Including non memory... IC Sales without Memory 20 WW IC Sales without Memory WW IC Sales- Memory Seasonal Adjusted 10 IC Sales [B USD] 18 16 14 12 10 WW IC Sales (B$) 3MMA WW IC Units without Memory WW IC Units- Memory Seasonal Adjusted WW IC Sales without Memory (B Units) 3MMA 8 6 Jan-97 May-97 Sep-97 Jan-98 May-98 Sep-98 Jan-99 May-99 Sep-99 Jan-00 May-00 Sep-00 Jan-01 May-01 Sep-01 Jan-02 May-02 Sep-02 Jan-03 May-03 Sep-03 9 8 7 6 5 IC Sales [B Units] 4 3 Source: WSTS, August 2003 September 2003 / Slide 5

Utilization remains high at all technology nodes - highest at <130 nm and > 500nm 110% 100% 90% 80% 70% 60% 50% 90nm 130nm 180nm 250nm 350nm >500nm 40% Aug-01 Oct-01 Dec-01 Feb-02 Apr-02 Jun-02 Aug-02 Oct-02 Dec-02 Feb-03 Apr-03 Jun-03 F Utilization Source: VLSI Research, May 2003 September 2003 / Slide 6

August PMI well above parity supporting recent positive news flows US Purchase Managers Index vs. YoY growth IC Sales 60 60% US Purchase Managers Index 58 56 54 52 50 48 46 44 42 40 PMI Semiconductors WW Dollars YoY Growth 9/11 Jan-97 Jun-97 Nov-97 Apr-98 Sep-98 Feb-99 Jul-99 Dec-99 May-00 Oct-00 Mar-01 Aug-01 Jan-02 Jun-02 Nov-02 Apr-03 Sep-03 Gulf war II SARS 40% 20% 0% -20% -40% -60% YoY Growth Semiconductor Sales September 2003 / Slide 7

Review of the Environment June 2003 August 2003 Consumer confidence weak but slightly improving End driver demand still elusive Amount of silicon manufactured slightly up Device prices down Customer margins under pressure About the same Same About the same Improving Same Chipmaker spending under tight scrutiny Same Corporate Q1 performance generally meets or beats expectations Q2 not as good September 2003 / Slide 8

Reactions Customers are: Still remaining cautious Still optimizing / maximizing tool utilization Waiting for greater visibility ASML is: Executing on our Marketplace Strategy September 2003 / Slide 9

Marketplace Strategy September 2003 / Slide 10

Continued Marketplace leadership Leadership in customer satisfaction Leadership in technology Leadership in providing high value drivers for customers September 2003 / Slide 11

Customer satisfaction equals customer loyalty Top 10 Large Suppliers of Chip Making Equipment 2003 Rank Company 1 Hitachi High Technologies 2 ASML 3 Dainippon Screen Mfg. Co., Ltd. 4 Tokyo Electron Limited 5 Advantest 6 Novellus Systems, Inc. 7 Agilent Technologies, Inc. 8 ASM International 9 Teradyne, Inc. 10 Canon Source: VLSI Research, June 2003 September 2003 / Slide 12

ASML s Technology Leadership - 193 nm product evolution - Immersion Lithography Integrated liquid supply system Modified lens Wafer - lens collision prevention Wet sensors Area of change September 2003 / Slide 13

Immersion Technology going forward at ASML No show stoppers identified with respect to the technology Twin stage technology provides competitive advantage for immersion solutions! September 2003 / Slide 14

Technology Leadership- 157nm Scanners ASML shipped industry s first full field 157nm exposure tool to IMEC Customer interest indicated by 157nm system orders Q2 03 Backlog Value per Technology 157 nm 11% 193 nm 28% i-line 6% ASML will respond to customer production implementation timing of this technology 248 nm 56% September 2003 / Slide 15

Today s leading edge Technology customer wins provide tomorrow s rewards Technology Transitions Critical 25% 248Hi 193 157 Litho Tool Mix Mid Critical 50% Mid Critical 248Lo + 248Lo 248Hi + 248Hi 193 + 193 Non critical 25% 365 248Lo 248Hi September 2003 / Slide 16

Value Driver - Overlay Improvement 5nm Overlay Improvement = >$1 Million Revenue/Month @ 30k Wafers/Month x 501 die/wafer x 0.011 x $6.50 Full wafer Overlay M+3s = 30 nm Overlay Distribution Spec Limit 5nm overlay improvement Full wafer Overlay M+3s = 25 nm Overlay Distribution Spec Limit Overlay (nm) Rework or Yield Loss 110nm memory example ACTUAL Overlay (nm) 1.15% yield + rework improvement! September 2003 / Slide 17

Financials Q2 2003 September 2003 / Slide 18

Total Revenues M 3000 2500 2673 Total Semi-annual Q1 Revenues 2000 1500 1000 500 1518 1493 1180 1589 759 830 1959 820 351 609 Q2 Q3 Q4 647 329 0 179 318 1999 2000 2001 2002 2003 September 2003 / Slide 19

System Revenue per Region H1 2003 Lithography systems shipments Korea 38% Singapore 4% Europe 16% China 5% Taiwan 2% U.S. 35% September 2003 / Slide 20

Backlog: litho unit vs. value 250 2000 200 150 100 50 1500 1000 500 0 0 Jan 02 Feb 02 Mar 02 Apr 02 May 02 Jun 02 July 02 Aug 02 Sep 02 Oct 02 Nov 02 Dec 02 Jan 03 Feb 03 Mar 03 Apr 03 May 03 Jun 03 Backlog units Backlog value M September 2003 / Slide 21

Backlog per June 30, 2003 Total value M 678 Value per type Steppers 1% Scanners 200 mm 32% Value per technology 157 nm 11% i-line 7% 248 nm 43% Scanners 300 mm 67% Korea 24% Value per region China 2% 193 nm 39% Logic 31% Value per end-use R&D 6% Foundry 13% Europe 11% Taiwan 12% Singapore 1% U.S. 50% MPU&MCU 2% Memory 48% September 2003 / Slide 22

Continued business focus Year end cash expectation - approx. 1B euro Execute on cost control actions to lower breakeven point Accelerate Cost of Goods reduction program for margin improvement September 2003 / Slide 23

Summary September 2003 / Slide 24

Summary Continuing positive news is evidence that the industry likely has hit bottom Modest IC industry growth expected in 2003 Customer growth of 8-9% There is delay between their growth and ours We must anticipate market requirements effectively Achieve flexible capacity while controlling costs Reorganize to maximize return Improve financial performance through: Stringent cash management Improved gross margins Continued cost control September 2003 / Slide 25

/ Slide 26 Commitment