A Time Domain Behavioral Model for Oscillators Considering Flicker Noise

Similar documents
Phase Noise and Tuning Speed Optimization of a MHz Hybrid DDS-PLL Synthesizer with milli Hertz Resolution

Enhancement of VCO linearity and phase noise by implementing frequency locked loop

A Wide Tuning Range (1 GHz-to-15 GHz) Fractional-N All-Digital PLL in 45nm SOI

Design & Implementation of an Adaptive Delta Sigma Modulator

Berkeley Nucleonics Corporation

VCO Design Using SpectreRF. SpectreRF Workshop. VCO Design Using SpectreRF MMSIM6.0USR2. November

Noise Analysis of Phase Locked Loops

System-Level Time-Domain Behavioral Modeling for A Mobile WiMax Transceiver

Analog-to-Digital Converter Performance Signoff with Analog FastSPICE Transient Noise at Qualcomm

On pulsed RF measurements

Analysis and Design of Low-Jitter Oscillators

Validation of Frequency- and Time-domain Fidelity of an Ultra-low Latency Hardware-in-the-Loop (HIL) Emulator

Microphonics. T. Powers

Integrated Circuit Design for High-Speed Frequency Synthesis

Improved Phase Noise Model. School of Electronics and Computer Science

TETRA Tx Test Solution

VARIANCE AS APPLIED TO CRYSTAL OSCILLATORS

A DPLL-based per Core Variable Frequency Clock Generator for an Eight-Core POWER7 Microprocessor

On Modern and Historical Short-Term Frequency Stability Metrics for Frequency Sources

SmartSpice RF Harmonic Balance Based RF Simulator. Advanced RF Circuit Simulation

Phase-Locked Loop Related Terminology & Definitions

Analysis and Design of Autonomous Microwave Circuits

Low Noise Oscillator series LNO 4800 B MHz

APPH6040B / APPH20G-B Specification V2.0

Challenges in RF Simulation

Model 7000 Series Phase Noise Test System

Real Time Jitter Analysis

LNS ultra low phase noise Synthesizer 8 MHz to 18 GHz

A simple time domain approach to noise analysis of switched capacitor circuits

Multiple Reference Clock Generator

Integrators, differentiators, and simple filters

20 GHz Low Power QVCO and De-skew Techniques in 0.13µm Digital CMOS. Masum Hossain & Tony Chan Carusone University of Toronto

Oscillator Phase Noise: A 50-year Retrospective. D. B. Leeson May 21, 2015

ALL-DIGITAL FREQUENCY SYNTHESIZER IN DEEP-SUBMICRON CMOS

Dr.-Ing. Ulrich L. Rohde

1 Introduction: frequency stability and accuracy

This provides extremely fast tuning speed limited primarily by the internal impedance of the user-supplied voltage driver.

SmartSpice RF Harmonic Balance Based and Shooting Method Based RF Simulation

A Simplified Extension of X-parameters to Describe Memory Effects for Wideband Modulated Signals

THE PHS 8340 FAMILY OF HIGH VALUE BROADBAND MICROWAVE SYNTHESIZERS

UMAINE ECE Morse Code ROM and Transmitter at ISM Band Frequency

Jitter Measurements using Phase Noise Techniques

SiNANO-NEREID Workshop:

A 0.2-to-1.45GHz Subsampling Fractional-N All-Digital MDLL with Zero-Offset Aperture PD-Based Spur Cancellation and In-Situ Timing Mismatch Detection

Cavity Testing Mathematics. Tom Powers USPAS SRF Testing Course 19 Jan. 2014

Quadrature Generation Techniques in CMOS Relaxation Oscillators. S. Aniruddhan Indian Institute of Technology Madras Chennai, India

Automated Oscillator Macromodelling Techniques for Capturing Amplitude Variations and Injection Locking

Ansys Designer RF Training Lecture 3: Nexxim Circuit Analysis for RF

School of Electronics, Devi Ahilya University, Indore, Madhya Pradesh, India 3. Acropolis Technical Campus, Indore, Madhya Pradesh, India

ULTRASCALE DDR4 DE-EMPHASIS AND CTLE FEATURE OPTIMIZATION WITH STATISTICAL ENGINE FOR BER SPECIFICATION

THE PHS 8500 FAMILY OF VERY LOW PHASE NOISE HIGH PERFORMANCE MICROWAVE SYNTHESIZERS BENCHTOP

Testing with Femtosecond Pulses

CLOCK AND DATA RECOVERY (CDR) circuits incorporating

FCC and ETSI Requirements for Short-Range UHF ASK- Modulated Transmitters

CHAPTER 6 PHASE LOCKED LOOP ARCHITECTURE FOR ADC

Behzad Razavi, RF Microelectronics, Prentice Hall PTR, 1998

A Simplified Extension of X-parameters to Describe Memory Effects for Wideband Modulated Signals

Lecture 7: Components of Phase Locked Loop (PLL)

A Low Noise, Voltage Control Ring Oscillator Based on Pass Transistor Delay Cell

Simulation technique for noise and timing jitter in phase locked loop

g - Compensated, Miniature, High Performance Quartz Crystal Oscillators Frequency Electronics Inc. Hugo Fruehauf

The Effects of Aperture Jitter and Clock Jitter in Wideband ADCs

STABLE32 FREQUENCY DOMAIN FUNCTIONS W.J. Riley, Hamilton Technical Services

Digital Waveform with Jittered Edges. Reference edge. Figure 1. The purpose of this discussion is fourfold.

AVoltage Controlled Oscillator (VCO) was designed and

This novel simulation method effectively analyzes a 2-GHz oscillator to better understand and optimize its noise performance.

Spectrum Analyzer Basics: Bandwidth October 25, 2017

Varactor-Tuned Oscillators. Technical Data. VTO-8000 Series

Digital Low Level RF for SESAME

The Designer s Guide to Jitter in Ring Oscillators

BandPass Sigma-Delta Modulator for wideband IF signals

l To emphasize the measurement issues l To develop in-depth understanding of noise n timing noise, phase noise in RF systems! n noise in converters!

Lecture 8. Jaeha Kim. Seoul National University

DESIGNING A NEW RING OSCILLATOR FOR HIGH PERFORMANCE APPLICATIONS IN 65nm CMOS TECHNOLOGY

Package Type: 0 Through Hole Supply Voltage: V V V Logic: HCMOS Sine Wave

INTERNATIONAL TELECOMMUNICATION UNION. Timing requirements of slave clocks suitable for use as node clocks in synchronization networks

AN ABSTRACT OF THE DISSERTATION OF. Chengwei Zhang for the degree of Doctor of Philosophy in Electrical and Computer

High Performance Digital Fractional-N Frequency Synthesizers

Accurate Reference Spur Estimation using Behavioural Modelling

Error! No text of specified style in document. Table Error! No text of specified style in document.-1 - CNU transmitter output signal characteristics

95GHz Receiver with Fundamental Frequency VCO and Static Frequency Divider in 65nm Digital CMOS

Available online at ScienceDirect. International Conference On DESIGN AND MANUFACTURING, IConDM 2013

BERT bathtub, TDP and stressed eye generator

DESIGN AND VERIFICATION OF ANALOG PHASE LOCKED LOOP CIRCUIT

Bunch-by-bunch studies at DELTA

Electronic Instrumentation

Design and Analysis of a Second Order Phase Locked Loops (PLLs)

Design of VCOs in Global Foundries 28 nm HPP CMOS

ECEN 720 High-Speed Links: Circuits and Systems

Heterodyne Sensing CMOS Array with High Density and Large Scale: A 240-GHz, 32-Unit Receiver Using a De-Centralized Architecture

The Fundamentals of Mixed Signal Testing

Simulation and Design of Printed Circuit Boards Utilizing Novel Embedded Capacitance Material

Fabricate a 2.4-GHz fractional-n synthesizer

Lab #2: Electrical Measurements II AC Circuits and Capacitors, Inductors, Oscillators and Filters

The Theta Laser A Low Noise Chirped Pulse Laser. Dimitrios Mandridis

Fractional- N PLL with 90 Phase Shift Lock and Active Switched- Capacitor Loop Filter

Student Research & Creative Works

LC VCO Structure. LV VCO structure

65-GHz Receiver in SiGe BiCMOS Using Monolithic Inductors and Transformers

SiGe PLL design at 28 GHz

Transcription:

A Time Domain Behavioral Model for Oscillators Considering Flicker Noise ASP-DAC 2017 Hui Zhang and Bo Wang The Key Lab of IMS, School of ECE, Shenzhen Graduate School Peking University, China Chiba, Japan, Jan.18, 2017 I N G U N I V P E K 1

Outline Introduction Relationship between jitter and phase noise The link for thermal noise Discuss and derive the link for flicker noise in detail. Model Implementation Theory and model verification Theory verification Model verification Comparison of the phase noise Comparison of the period jitter s PSD Conclusions 2

Time Domain Behavioral Model Needed Transistor Level System Description Parameter Extraction with SPICE Component Behavioral Models Behavioral Simulation System Performance Large-signal time domain model is the only suitable model for the circuit without steady-state solution. Fractional-N PLL Bang-bang PLL.. Design space exploration can be done efficiently by the behavioral model. 3

Phase Noise in Oscillators The -20dB/dec and -30dB/dec regions are up-converted by the thermal and flicker noise respectively. 4

State-of-the-Art Citation: 169 (based on Google Scholar) Most cited paper in modeling PLL and the oscillator behaviorally Jitter-based time domain and phase domain model To be improved: This excludes flicker noise. 5

State-of-the-Art Event-Driven Simulation and Modeling of Phase Noise of an RF Oscillator R.B. Staszewski et al., TCAS-I, 2005 Citation: 101 Second most cited paper in modeling oscillator behaviorally Jitter-based time domain model To be improved: A further correction has to be made when model the 30dB/dec rolling off region of the phase noise. 6

Jitter-based Model Jitter-based Model Efficient Available Both of the two top cited models are jitter-based. Efficient: noise is represented only on the timing of the transitions(in the form of jitter) Available: jitter extraction methodology is based on the commercially available simulator such as SpectreRF 7

Link between Jitter and Phase Noise Considering Only Thermal Noise The relationship between the period jitter variance and the phase noise with only the thermal noise is σσ ttttttttttttt 2 = LL ff ff2 ff 0 3. The jitter extracted from this formula is proved to be accurate in modeling the -20dB/dec of the phase noise. 8

Extract the Jitter due to Flicker Noise Hajimiri(JSSC99), McNeil(ISCAS04) et al.: σσ 2 ττ = 2 + ππff 2 LL φφ ΔΔΔΔ ssssss 2 0 0 ππππππππ dddddd The formula is not closed-form. Flicker noise is nonstationary. R.B. Staszewski(TCAS-I, 2005): Not rigorous Further correction to be made in modeling the -30dB/dec region. 9

Mathematical Foundation The flicker noise is postulated as an stationary stochastic process by introducing a cut-off frequency. Solving the integral analytically will establish a link. 10

Link between Jitter and Phase Noise Considering Only Flicker Noise We relate the variance of the period jitter with the phase noise for flicker noise as 22 σσ 11 ff tt = 22 llll tt TT 00 00. 99999999 ff33 ff 00 44 LL ff This expression is CLOSED-FORM and COMPACT. tt: the observation time ff : the offset frequency LL ff :the single-sided spectral noise density ff 0 : the nominal frequency 11

Time Domain Model of Oscillators Including the White and Flicker Noise Perturbed Frequency Frequency to Phase Model Schematic -C- 2*pi 1 s Frequency Divide Gain1 Integrator Phase to Clock 1 Constant3 mod >= Output Relational Operator In1 Noise_Source Out1 2*pi Constant1 pi Constant2 Clock Clock_Edge_Analyzer Trigger Random Number1 Noise_Source Flicker Filter num(z) den(z) Add 1 Out1 1 In1 Trigger z-1 z Difference Jitter Extract Actual Period Clock_Edge_Analyzer Subtract -K- Gain -K- -C- -K- K Ts z-1 Time to Phase Discrete-Time Integrator yout To Workspace Random Number2 Gain1 Ideal Period 12

Theory Verification 2.4 2.2 Theory Simulation 2 2 0,1/f 1.8 1.6 2 1/f / 1.4 1.2 1 0.8 10-7 10-6 10-5 10-4 10-3 10-2 Observation Time (s) The model with only flicker noise is used. Jitter s variance grows along ln tt TT 0 0.9151. It is redicted by our theory and formula. 13

Theory Verification -20-40 Simulation Theory -60 Phase Noise (dbc/hz) -80-100 -120-140 -160-180 10 5 10 6 10 7 10 8 Frequecy (Hz) The variance of the period jitter is fixed. The phase noise is predicted by our formula by LL ff = 2 σσ 1 ff TT 0 2 ln tt TT0 0.9151 The simulation results conforms the prediction. ff 0 4 ff 3. 14

Model Verification with Real Oscillator Circuits -60 Model LC Oscillator -80 Phase Noise (dbc/hz) -100-120 -140-20dB -30dB -160 10 4 10 5 10 6 10 7 1.422GHz LC oscillator circuit with Parameter Extraction( ) Thermal noise jitter: σσ ttttttttttttt 2 = LL ff ff2 ff 0 3 22 Flicker noise jitter: σσ 11 ff Frequecy (Hz) about 100KHz noise corner frequency tt = 22 llll tt TT 00 00. 99999999 ff33 ff 00 44 LL ff 15

Model Verification with Real Oscillator Circuits -40-60 Model Ring Oscillator -80 Phase Noise (dbc/hz) -100-120 -140-20dB -30dB -160-180 10 5 10 6 10 7 10 8 10 9 15.911GHz ring oscillator circuit with Parameter Extraction( ) Thermal noise jitter: σσ ttttttttttttt 2 = LL ff ff2 ff 0 3 22 Flicker noise jitter: σσ 11 ff Frequecy (Hz) about 60MHz noise corner frequency tt = 22 llll tt TT 00 00. 99999999 ff33 ff 00 44 LL ff 16

Further Model Verification with Real Oscillator Circuits Time Domain Period Jitter Extraction Cadence Transient Noise Analysis Verilog-A Period Measurement Block Matlab Extract the period jitter by transient noise analysis Setup: noisemin is 10K, noisefmax is 500G Runtime: 10 days to complete 1ms simulation(server with E5 processor and 16G memory) 17

-335-340 Further Model Verification with Real Oscillator Circuits Oscillator Period Jitter Model Period Jitter -345-350 PSD(dB/Hz) -355-360 -365-370 -375-380 10 4 10 5 10 6 10 7 10 8 10 9 Frequecy (Hz) Comparison of the period jitter spectrum between Our model (extracted by the link between the phase noise and the jitter) That extracted directly in time domain by the transient noise analysis 18

Conclusions We have detailly discussed and derived the link between jitter and phase noise for the flicker noise. A closed-form analytical expression is given without any approximation. Demonstrate the link between period jitter and phase noise by simulation for the first time. Present a time domain behavioral model for oscillators considering the flicker noise. The first work to model the up-converted flicker noise region of the phase noise accurately in time domain Universal and accurate for either LC or ring oscillators Two different ways are used to verify the model, both observe excellent agreements. 19

Thank you! Acknowledgements: This research is supported by NSFC (61471011) and R&D projects of Shenzhen city (JCYJ20150331102721193,JCYJ20160229094148396). 20