Discovering Electrical & Computer Engineering. Carmen S. Menoni Professor Week 3 armain.

Similar documents
EUV Light Source The Path to HVM Scalability in Practice

EUV lithography: today and tomorrow

EUV Lithography Transition from Research to Commercialization

Reliable High Power EUV Source Technology for HVM: LPP or DPP? Vivek Bakshi, Ph.D. EUV Litho, Inc.

Mask Technology Development in Extreme-Ultraviolet Lithography

PROCEEDINGS OF SPIE. LPP-EUV light source for HVM lithography. T. Saito, Y. Ueno, T. Yabu, A. Kurosawa, S. Nagai, et al.

Spring of EUVL: SPIE 2012 AL EUVL Conference Review

EUVL Scanners Operational at Chipmakers. Skip Miller Semicon West 2011

Laser-Produced Sn-plasma for Highvolume Manufacturing EUV Lithography

EUVL Activities in China

TSMC Property. EUV Lithography. The March toward HVM. Anthony Yen. 9 September TSMC, Ltd

Tin LDP Source Collector Module (SoCoMo) ready for integration into Beta scanner ABSTRACT Keywords : 1. INTRODUCTION

EUVL Activities in China. Xiangzhao Wang Shanghai Inst. Of Opt. and Fine Mech. Of CAS. (SIOM) Shanghai, China.

S26 Basic research on 6.x nm EUV generation by laser produced plasma

1 st /2nd generation Laser-Produced Plasma source system for HVM EUV lithography

2008 European EUVL. EUV activities the EUVL shop future plans. Rob Hartman

Optics for EUV Lithography

Light Sources for EUV Mask Metrology. Heiko Feldmann, Ulrich Müller

Newer process technology (since 1999) includes :

Progress towards Actinic Patterned Mask Inspection. Oleg Khodykin

EUV: Status and Challenges Ahead International Workshop on EUVL, Maui 2010

EUV lithography: status, future requirements and challenges

Major Fabrication Steps in MOS Process Flow

R&D Status and Key Technical and Implementation Challenges for EUV HVM

5. Lithography. 1. photolithography intro: overall, clean room 2. principle 3. tools 4. pattern transfer 5. resolution 6. next-gen

Japan Update. EUVA (Extreme Ultraviolet Lithography System Development Association) Koichi Toyoda. SOURCE TWG 2 March, 2005 San Jose

k λ NA Resolution of optical systems depends on the wavelength visible light λ = 500 nm Extreme ultra-violet and soft x-ray light λ = 1-50 nm

LPP EUV Source Development and HVM I Productization

EUVL Activities in China

Institute of Solid State Physics. Technische Universität Graz. Lithography. Peter Hadley

Light Sources for High Volume Metrology and Inspection Applications

A review on contemporary practices in Lithography

EUVL getting ready for volume introduction

Lecture 7. Lithography and Pattern Transfer. Reading: Chapter 7

Development Status of EUV Sources for Use in Alpha-, Beta- and High Volume Chip Manufacturing Tools

1 st generation Laser-Produced Plasma source system for HVM EUV lithography

Nikon EUVL Development Progress Update

GIGAPHOTON INTRODUCTION

Lithography. 3 rd. lecture: introduction. Prof. Yosi Shacham-Diamand. Fall 2004

Status and challenges of EUV Lithography

Scope and Limit of Lithography to the End of Moore s Law

Semiconductor Manufacturing Technology. Semiconductor Manufacturing Technology. Photolithography: Resist Development and Advanced Lithography

X-ray generation by femtosecond laser pulses and its application to soft X-ray imaging microscope

ECSE 6300 IC Fabrication Laboratory Lecture 3 Photolithography. Lecture Outline

450mm and Moore s Law Advanced Packaging Challenges and the Impact of 3D

Light Source Technology Advances to Support Process Stability and Performance Predictability for ArF Immersion Double Patterning

Optical Microlithography XXVIII

Key Challenges in EUV Mask Technology: Actinic Mask Inspection and Mask 3D Effects. Yow-Gwo Wang

The UCD community has made this article openly available. Please share how this access benefits you. Your story matters!

NIST EUVL Metrology Programs

Laser Produced Plasma Light Source for HVM-EUVL

EUV Plasma Source with IR Power Recycling

EUV Supporting Moore s Law

EUV and Soft X-Ray Optics

Bank of America Merrill Lynch Taiwan, Technology and Beyond Conference

Registration performance on EUV masks using high-resolution registration metrology

Optical Lithography. Here Is Why. Burn J. Lin SPIE PRESS. Bellingham, Washington USA

Imec pushes the limits of EUV lithography single exposure for future logic and memory

Diffractive optical elements and their potential role in high efficiency illuminators

Akira Miyake, Chidane Ouchi, International EUVL Symposium, October , Kobe Slide 1

Actinic Review of EUV Masks: Status and Recent Results of the AIMS TM EUV System

* AIT-5: Maskless, High-NA, Immersion, EUV, Imprint

Process Optimization

EUVL: Challenges to Manufacturing Insertion

A Comparison of ArF and KrF Laser Performance At 2kHz For Microlithography

Holistic Lithography. Christophe Fouquet. Executive Vice President, Applications. 24 November 2014

Recent Activities of the Actinic Mask Inspection using the EUV microscope at Center for EUVL

MICROCHIP MANUFACTURING by S. Wolf

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. The lithographic process

Holistic View of Lithography for Double Patterning. Skip Miller ASML

EUVL Exposure Tools for HVM: It s Under (and About) Control

Section 2: Lithography. Jaeger Chapter 2. EE143 Ali Javey Slide 5-1

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. EE143 Ali Javey Slide 5-1

EE143 Fall 2016 Microfabrication Technologies. Lecture 3: Lithography Reading: Jaeger, Chap. 2

Fiber Lasers for EUV Lithography

Optical Lithography. Keeho Kim Nano Team / R&D DongbuAnam Semi

High repetition-rate LPP-source facility for EUVL

2009 International Workshop on EUV Lithography

Recent Development Activities on EUVL at ASET

Critical dimension sensitivity to post-exposure bake temperature variation in EUV photoresists

Performance data of a new 248 nm CD metrology tool proved on COG reticles and PSM s

EUV Source for High Volume Manufacturing: Performance at 250 W and Key Technologies for Power Scaling

Critical Dimension Enhancement of DUV Photolithography on the ASML 5500/300. Francesca Calderon Miramonte High School August 13th, 2015

Energy beam processing and the drive for ultra precision manufacturing

Mask Roughness Induced Line-Edge Roughness in Extreme Ultraviolet Lithography. Brittany McClinton

UV LED ILLUMINATION STEPPER OFFERS HIGH PERFORMANCE AND LOW COST OF OWNERSHIP

Semiconductor Technology

Optical Issues in Photolithography

Power scaling of picosecond thin disc laser for LPP and FEL EUV sources

Compact EUV Source for Metrology and Inspection

1X Broadband Wafer Stepper for Bump and Wafer Level Chip Scale Packaging (CSP) Applications

Photolithography I ( Part 1 )

INSPECTION AND REVIEW PORTFOLIO FOR 3D FUTURE

Advanced Patterning Techniques for 22nm HP and beyond

Device Fabrication: Photolithography

PROCEEDINGS OF SPIE. Performance of one hundred watt HVM LPP-EUV source

Optical Requirements

IN-LAB PELLICLE METROLOGY CHALLENGES

State-of-the-art device fabrication techniques

TWINSCAN XT:1950i Water-based immersion taken to the max Enabling fast, single-exposure lithography at sub 40 nm

Transcription:

Discovering Electrical & Computer Engineering Carmen S. Menoni Professor Week 3 http://www.engr.colostate.edu/ece103/semin armain.html

TOP TECH 2012 SPECIAL REPORT IEEE SPECTRUM PAGE 28, JANUARY 2012 P.E. Ross & S.K. Moore Selection by the authors of technologies that are likely to figure prominently in coming year s tech headlines.

An extremely fine line Katie M, Palmer pp47, IEEE Spectrum 1/12 Extreme ultraviolet (EUV) lithography is the next generation printing method that will allow to continue fulfilling Moore s law. EUV is expected to print at the 14 nm node and beyond. EUV is expected to be in production by 2014. The goal for putting EUV systems in the field with adequate throughput of 60 wafers per hour is 100W average power. The ultimate goal is to achieve 250W power for throughput of 125 wafers per hour.

3/6/2012 4 Why EUV? EUV light belongs to that region of the electromagnetic spectrum between 10-50 nm. 193 nm 13.5 nm visible light = 500 nm k CD NA Extreme ultra-violet light = 10-50 nm

It is not easy to work in EUV region The selection of EUV for printing the next generation of integrated circuits was made because at a wavelength of 13.5 nm, highly reflective multilayer coatings can be made. TEM cross section of a Mo/Si multilayer (d period =6.85nm) http://www.euvl.de/multilayers/html/puremosi.html

Printing system (stepper tool) Conventional Stepper EUV Stepper Essential elements: Light source Masks Projection system For EUV to be profitable, it needs to print 70 wafers/hour NXE-3300 http://www.llnl.gov/str/sween.html

Sources of EUV Light Visible light is produced by atoms in which outer electrons are excited via other electrons, or with light. To generate EUV light it is necessary to access inner shell transitions Two types of incoherent sources: Laser assisted discharge plasma (X-treme technologies Germany) Laser created plasmas (Cymer USA; Gigaphotons Japan)

Incoherent plasma sources Laser produced plasmas Discharge produced plasmas http://www.xtremetec.com/

Incoherent plasma sources Laser assisted discharge plasma July 11, 2011 IMEC announces printing of the first Extreme Ultra Violet (EUV)-light wafers with ASML NXE:3100 mounted with XTREME s laser-assisted discharge plasma (LDP) source Output: 37 W at 100% duty cycle Hybrid technology combines the main advantages of the traditional LPP and DPP architectures: namely, power scalability and high stability. Additional advantages of LDP are: Pure photons (i.e., no tin contamination beyond the scanner interface), thus guaranteeing a long scanner lifetime, Clean photons (i.e., negligible DUV and IR spectral content), enabling imaging and overlay, Dose stability and repeatability (enabling CD uniformity), High duty cycles (enabling high effective throughput), and Improved source uptime (enabling high-volume manufacturing)

Laser produced plasmas http://www.cymer.com/euvl/ How is the EUV light generated in the new source? The 13.5nm wavelength light is produced when a CO2 laser pulse is "shot" at a droplet of tin (Sn). The laser heats the droplet of tin to the point of evaporation and super-heating to critical temperature, then the atoms shed their electrons and become highly ionized (i.e. a plasma). The ions created by the interaction of the laser pulse and tin emit photons, which are collected by a highly reflective mirror. The mirror reflects and directs the resulting 13.5nm wavelength energy and focuses it through an aperture and into the lithography system. The Cymer LPP EUV Source System consists of a high power, high repetition rate pulsed CO2 laser, a beam delivery system, and a plasma vacuum vessel - complete with a droplet generator and collector, debris mitigation, and in-situ metrology to measure, monitor and control the system operation. Key Features: High Conversion Efficiency - Sn droplet & 10.6 micron laser High Collection Efficiency - Large collection angle and small source size. Long Lifetime - Isolated plasma Source can produce 50W of power at 80% duty cycle (Adv. Lithography 2012)

Mo/Si multilayers EUV Masks 6 6 Mo/Si multilayer coated mask Phase defects bumps Phase defects pits Absorber pattern bumps Currently: 0.3 defects/cm 2 Goal: 0.003 defects/cm 2 Absorption defects pits

Projection System Uses high reflectors to guide light and illuminate the mask. This is because EUV light is highly absorbed by most materials. 0.25NA system Throughput: Required: 100 W will allow to print 100 wafers per hour Present specs: 50 W or 50 wafers per hour. For industry to have this technology in production by 2014/5 they need to have systems operating reliably now 3/6/2012 http://www.llnl.gov/str/sween.html 12

EUV Resists The mask pattern is projected into a wafer whose surface is covered by a thin (<100 nm) layer of a resist. Resist technology for EUV has advanced to the point that 12 nm lines and spaces can be printed with 0.3 NA objective. Inpria corporation have developed photoresists capable to print sub- 16 nm lines http://www.cxro.lbl.gov/met

Competitive technologies Double/Triple/Quadrupole patterning Multiple electron beam lithography Nano-imprint Directed self assembly

Technologies Sources of EUV light incoherent, high average power, low debrie Multilayer coatings for EUV most materials have little reflectivity and high absorption. 13.5 nm wavelength selected because of the performance of Mo/Si multilayers Masks with very few defects are needed to print at the 14 nm node and below. Mask inspection is a big issue Projection optics here the quality is X-treme to realize aberration free printing and high throughput