DUV. Matthew McLaren Vice President Program Management, DUV. 24 November 2014

Similar documents
EUV Supporting Moore s Law

Bank of America Merrill Lynch Taiwan, Technology and Beyond Conference

TWINSCAN XT:1950i Water-based immersion taken to the max Enabling fast, single-exposure lithography at sub 40 nm

Competitive in Mainstream Products

Enabling Semiconductor Innovation and Growth

Holistic View of Lithography for Double Patterning. Skip Miller ASML

Doug Dunn ASML President and Chief Executive Officer Deutsche Bank Conference London, England September 19, / Slide 1

EUVL Scanners Operational at Chipmakers. Skip Miller Semicon West 2011

CLSA Investors Forum 2017

Public. Introduction to ASML. Ron Kool. SVP Corporate Strategy and Marketing. March-2015 Veldhoven

ASML Market dynamics. Dave Chavoustie EVP Sales Analyst Day, September 30, 2004

Nikon Medium Term Management Plan

Facing Moore s Law with Model-Driven R&D

EUVL getting ready for volume introduction

21 st Annual Needham Growth Conference

Holistic Lithography. Christophe Fouquet. Executive Vice President, Applications. 24 November 2014

Credit Suisse European Technology Conference 2008

Imaging for the next decade

Intel Demonstrates High-k + Metal Gate Transistor Breakthrough on 45 nm Microprocessors

Investor Presentation

Leadership Through Innovation Litho for the future

Confirms 2013 Financial Guidance

Metrology in the context of holistic Lithography

EUV lithography: today and tomorrow

MAPPER: High throughput Maskless Lithography

Optical Microlithography XXVIII

Intel Technology Journal

Textron Reports First Quarter 2016 Income from Continuing Operations of $0.55 per Share, up 19.6%; Reaffirms 2016 Financial Outlook

450mm and Moore s Law Advanced Packaging Challenges and the Impact of 3D

GIGAPHOTON INTRODUCTION

Textron Reports Second Quarter 2014 Income from Continuing Operations of $0.51 per Share, up 27.5%; Revenues up 23.5%

Textron Reports Third Quarter 2018 Results; Narrows Full-Year EPS and Cash Guidance

Textron Reports Third Quarter 2014 Income from Continuing Operations of $0.57 per Share, up 62.9%; Revenues up 18.1%

immersion optics Immersion Lithography with ASML HydroLith TWINSCAN System Modifications for Immersion Lithography by Bob Streefkerk

Optics for EUV Lithography

KOHLBERG CAPITAL CORPORATION. May 2007

Marvin J. Migura. Oceaneering International, Inc. Executive Vice President. Safe Harbor Statement

M. Kevin McEvoy. Oceaneering International, Inc. Chief Executive Officer 2015 GLOBAL ENERGY AND POWER EXECUTIVE CONFERENCE JUNE 2, 2015 NEW YORK, NY

Status and challenges of EUV Lithography

Rod Larson President & CEO

Lithography. International SEMATECH: A Focus on the Photomask Industry

Lithography Industry Collaborations

M. Kevin McEvoy. Oceaneering International, Inc. President & CEO. December 2, 2014 New York, NY. Safe Harbor Statement

Multiple Patterning for Immersion Extension and EUV Insertion. Chris Bencher Distinguished Member of Technical Staff Applied Materials CTO group

In the quarter, Textron returned $344 million to shareholders through share repurchases, compared to $186 million in the first quarter of 2017.

Halliburton and Baker Hughes Creating the leading oilfield services company

It s Time for 300mm Prime

Capital One Securities, Inc.

MICROCHIP MANUFACTURING by S. Wolf

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. EE143 Ali Javey Slide 5-1

EUV: Status and Challenges Ahead International Workshop on EUVL, Maui 2010

Marvin J. Migura. Oceaneering International, Inc. Executive Vice President. September 30, 2014 New Orleans, LA. Safe Harbor Statement

Pierre Brondeau Vice President, Business Group Executive Electronic Materials Regional Director - Europe Lehman Brothers Conference Call November

Mid/Long-Term Management Policy

Discovering Electrical & Computer Engineering. Carmen S. Menoni Professor Week 3 armain.

A Closer Look at ASML. September 26-27, 2002

SHELL S MBM PROGRESS AND PLAN

4 th Quarter Earnings Conference Call

Analyst Day Real change starts here. Doug Pferdehirt, Chief Executive Officer

(Complementary E-Beam Lithography)

Section 2: Lithography. Jaeger Chapter 2. EE143 Ali Javey Slide 5-1

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. The lithographic process

Mask Technology Development in Extreme-Ultraviolet Lithography

Market and technology trends in advanced packaging

Newer process technology (since 1999) includes :

Advanced Packaging Solutions

Electrical Products Group Conference

Advancing Industry Productivity

Transition PPT Template. J.P. Morgan. June 2015 V 3.0. Energy Equity Conference June 27, 2017

EUVL Exposure Tools for HVM: It s Under (and About) Control

FSIC FRANCHISE. Frequently asked questions

Scaling of Semiconductor Integrated Circuits and EUV Lithography

G450C. Global 450mm Consortium at CNSE. Michael Liehr, General Manager G450C, Vice President for Research

Impact of 3-D Mask Effects on CD and Overlay over Image Field in Extreme Ultraviolet Lithography

Shell s Journey to Mobility

Private and confidential intended solely for use by the recipient

Economic Model Workshop, Philadelphia

ECSE 6300 IC Fabrication Laboratory Lecture 3 Photolithography. Lecture Outline

Intel's 65 nm Logic Technology Demonstrated on 0.57 µm 2 SRAM Cells

Commercializing Innovation:

Operational Intelligence to deliver Smart Solutions

The Future of Packaging ~ Advanced System Integration

450mm silicon wafers specification challenges. Mike Goldstein Intel Corp.

4Q February 2012

Results Presentation 2017 Second Quarter and Interim Results. 30 Aug, 2017

Intel. Moving immersion into production. Intel and ASML. System flexibility through enhancement packages. Special Edition 2006

Closed Loop Registration Control (RegC ) Using PROVE as the Data Source for the RegC Process

Half-Year Press Conference

Pareto s Annual Oil & Offshore Conference

Acquisition of GEODynamics. December 13, 2017

Marvin J. Migura Sr. Vice President & CFO Oceaneering International, Inc.

Marvin J. Migura. Oceaneering International, Inc. Global Hunter Securities 100 Energy Conference June 24, 2014 Chicago, IL. Safe Harbor Statement

UNITED STATES SECURITIES AND EXCHANGE COMMISSION Washington, D.C FORM 8-K. TEXTRON INC. (Exact name of Registrant as specified in its charter)

Part 5-1: Lithography

Optical Maskless Lithography - OML

$3.5 Billion Acquisition of Nation s No. 2 Company in Growing Moist Snuff Category. Deal at a Glance

Acquisition of MST Medical Surgery Technologies Ltd:

4 th Quarter Earnings Conference Call

PUSHING LITHOGRAPHY TO ENABLE ULTIMATE NANO-ELECTRONICS. LUC VAN DEN HOVE President & CEO imec

KKR & Co. Inc. Goldman Sachs U.S. Financial Services Conference December 4, 2018

Transcription:

DUV Matthew McLaren Vice President Program Management, DUV 24

Forward looking statements This document contains statements relating to certain projections and business trends that are forward-looking, including statements with respect to our outlook, expected customer demand in specified market segments, expected sales levels and trends, our market share, customer orders and systems backlog, IC unit demand, expected or indicative financial results or targets, including revenue, gross margin, expenses, gross margin percentage, opex percentage of sales, tax percentage, cash conversion cycle, capex percentage of sales, credit rating and earnings per share, expected shipments of tools and the timing thereof, including expected shipments of EUV and DUV tools, productivity of our tools and systems performance, including EUV system performance (such as endurance tests), the development of EUV technology and timing of shipments, development in IC technology, including shrink scenarios, NAND technology development and cost estimates, expectations on development of the shrink roadmap across all of our systems, upgradeability of our tools, system orders, customer transition estimates, expected transition scaling, forecasted industry developments, including expected smartphone, tablet and server use in future years, and expectations relating to new applications including wearable devices and connected devices, expected investment payback time for foundries, expected construction of additional holistic lithography infrastructure, the continuation of Moore s Law, and our dividend policy and intention to repurchase shares. You can generally identify these statements by the use of words like may, will, could, should, project, believe, anticipate, expect, plan, estimate, forecast, potential, intend, continue and variations of these words or comparable words. These statements are not historical facts, but rather are based on current expectations, estimates, assumptions and projections about the business and our future financial results and readers should not place undue reliance on them. Slide 2 Forward-looking statements do not guarantee future performance and involve risks and uncertainties. These risks and uncertainties include, without limitation, economic conditions, product demand and semiconductor equipment industry capacity, worldwide demand and manufacturing capacity utilization for semiconductors (the principal product of our customer base), the impact of general economic conditions on consumer confidence and demand for our customers products, competitive products and pricing, affordability of shrink, the continuation of Moore s Law, the impact of manufacturing efficiencies and capacity constraints, performance of our systems, the continuing success of technology advances and the related pace of new product development and customer acceptance of new products and customers meeting their own development roadmaps, market demand for our existing products and for new products and our ability to maintain or increase or market share, the development of and customer demand for multi-patterning technology and our ability to meet overlay and patterning requirements, the number and timing of EUV systems expected to be shipped, our ability to enforce patents and protect intellectual property rights, the risk of intellectual property litigation, EUV system performance and customer acceptance, availability of raw materials and critical manufacturing equipment, trade environment, our ability to reduce costs, changes in exchange rates and tax rates, available cash, distributable reserves for dividend payments and share repurchases, changes in our treasury policy, including our dividend and repurchase policy, completion of sales orders, the risk that key assumptions underlying financial targets prove inaccurate, including assumptions relating to market share, lithography market growth and our customers ability to reduce productions costs, risks associated with Cymer, which we acquired in 2013, and other risks indicated in the risk factors included in ASML s Annual Report on Form 20-F and other filings with the US Securities and Exchange Commission. These forward-looking statements are made only as of the date of this document. We do not undertake to update or revise the forward-looking statements, whether as a result of new information, future events or otherwise.

Number of exposures On product Overlay (nm) The growing number of exposures and increasing patterning complexity challenges the cost requirement for future nodes Slide 3 100 80 Logic 80 60 EUV ArF-i ArF KrF i-line DRAM 8 7 6 DRAM Logic 60 40 40 5 4 3 20 20 2 1 1 nm = 4 silicon atoms 0 0 2H 2M 1H 1M 1L 0 2014 2015 2016 2017 2018 2019 2020 Node Node The challenge for lithography: Increasing number of lithographic exposures per node impacts total wafer cost Patterning complexity requires advances in system design and close loop control Productivity (good wafers per day) under pressure from both the above

TWINSCAN Immersion roadmap Long term Immersion opportunity 40-50 systems annually Application Node Logic DRAM 190 WpH 230 WpH 250 WpH >275 WpH On product overlay 1 st Shipment Slide 4 28 2H NXT:1950i 7 nm 2009 2M NXT:1960Bi 6.5 nm 2011 NXT:1965Ci 6.5 nm 2013 20/16 /14 2L NXT:1970Ci <5 nm 2013 10 1H NXT:1980Di <3.5 nm 2015 7 1M NXT:next 2.5 nm 2017

TWINSCAN Immersion roadmap Multiple technology advances required to enable future nodes Slide 5 Imaging Imaging performance (lens) (lens) Reticle Reticle stage stage accuracy accuracy Illumination uniformity Alignment Alignment and and Levelling Levelling Sensors Sensors Imaging performance (laser) Environmental conditioning Immersion technology Wafer Wafer Stage Stage Accuracy Accuracy Wafer Wafer Table Table Flatness Flatness Overlay - general Imaging/Focus

Overlay & Imaging improvements at wafer level requires technology advances in almost all sub modules Slide 6 Example 1: Alignment sensor Example 2: Wafer table Order of magnitude improvement in flatness Layout compatible with EUV More colors (broad illumination spectrum) for better robustness Higher light source intensity for better signal to noise ratio Smaller illumination spot for better signal to noise ratio Improved optical design for better measurement repeatability

Imaging improvements also needed to counter the heating effect of higher productivity on the lens Slide 7 Example 3: Flexible Lens Element Flexwave Higher productivity can cause lens elements to increase in temperature deforming the image and degrading overlay Insertion of a unique flexible lens element that can be heated at specific locations can counter the effect, correcting the image This enabling technology is available on all NXT immersion systems and can be retrofitted to immersion systems in the field

Q304 Q205 Q106 Q406 Q307 Q208 Q109 Q409 Q310 Q211 Q112 Q412 Q313 Q214 target 2016 Maximum Wafers per Day, weekly average 1,000,000 wafers/year 1,500,000 wafers/year 2,000,000 wafers/year Productivity (wafers per day) drives reduced cost per layer Speed, Availability, Efficiency improvement can deliver 2M wafers/year 6000 300mm TWINSCAN Productivity Slide 8 5000 >100% improvement in WPD over 10 years (>10% / year) 4000 3000 2000 1000 0 Quarters With the 1 Million wafers per year club charging towards 400 systems, the learning cycles made possible have greatly enhanced the robustness of each new system introduction

TWINSCAN KrF/ArF roadmap: extendibility & upgradability Providing lowest Cost of Ownership on the many less critical layer applications Long term KrF/ArF opportunity 40-55 systems annually Slide 9 Upgradeable 1 st shipment ArF NA 0.93 XT:1450H +TOP4 option 7 nm MMO 5 nm MMO XT:1460K Improved 178 WPH 205 WPH Q2 2015 KrF NA 0.93 XT:1000K +TOP4 option 7 nm MMO Overlay 5 nm MMO XT:1060K 205 WPH 205 WPH Q4 2014 KrF NA 0.80 XT:860K +TOP4 option 7 nm XT:860L 7 nm Higher 210 WPH +TOP4 option 225 WPH Q3 2014 KrF NA 0.80 XT:800K Throughput 20 nm 20 nm XT:800L 220 WPH 240 WPH Q1 2015

TWINSCAN platform: modular design leverages entire product family and large install base Slide 10 Modular design approach to technology advances promotes easy cascading of performance improvements to other TWINSCAN models development and manufacturing cost efficiency for ASML cost of service benefits due to large commonality across system models Large install base offers the opportunity for a significant field upgrade business re-use of installed base reduces the capital cost for next node ArF Immersion Development Carrier Install: 570 systems ArF Dry 70% Commonality Install: 340 systems KrF 70% Commonality Install: 660 systems i-line 50% Commonality Install: 150 systems

To control the total cost of lithography, ASML offers system extendibility through technology upgrades in the field Slide 11 Field upgradability remains a major pillar in ASML Cost of Ownership reduction program and customer retention strategy NXT and XT platform can be upgraded in the field to support at least 2 more nodes, enabled through sub module commonality Field upgrades provide ~50% capex customer savings compared to new system by re-using major parts of current system Platform extendibility makes future node transitions affordable New system buys for node development and ramp DRAM Node Transitions Capacity from previous generation available for upgrade

TWINSCAN Immersion roadmap: System Upgradability Long term Field Upgrade opportunity ~20 systems annually Application Node Logic DRAM 190 WpH 230 WpH 250 WpH >275 WpH On product overlay 1 st Shipment Slide 12 28 2H NXT:1950i 7 nm 2009 2M NXT:1960Bi 6.5 nm 2011 SNEP 1 NXT:1965Ci PEP 275 6.5 nm 2013 20/16 /14 2L NXT:1970Ci PEP 275 <5 nm 2013 SNEP 2 10 1H SNEP: System Node Extension Package NXT:1980Di <3.5 nm 2015 7 1M PEP: Productivity Enhancement Package NXT:next 2.5 nm 2017

ASML s current mainstream business is highly valuable to customers, profitable to ASML and will continue to be so for the foreseeable future Slide 13 Technology opportunity Extending immersion lithography remains critical for the coming nodes (resolution & overlay) Dry lithography requires both productivity and overlay improvements for semi-critical layers Productivity is the main driver in Cost of Ownership, but must go hand in hand with advances in patterning to support future nodes Business opportunity Alongside EUV, the immersion layer count remains high driving business volume Demand for KrF systems remains strong driven by a high number of implant and metal layers A large and growing install base is the foundation for a significant upgrade / extension business

Slide 14