Test Structures and Techniques for On-Wafer CMOS TRL Calibration

Size: px
Start display at page:

Download "Test Structures and Techniques for On-Wafer CMOS TRL Calibration"

Transcription

1 Test Structures and Techniques for On-Wafer CMOS Calibration Michael Bohl Jenner and Troels Emil Kolding RF Integrated Systems & Circuits (RISC) group, Aalborg University, Denmark. Internet: Abstract In this paper, we demonstrate for the first time a calibration kit fabricated in CMOS technology. To fulfill a basic requirement of high probe-isolation of the method, we have based all fabricated calibration standards on a shield-based measuring fixture that heavily reduces the effects of the semiconducting substrate. We analyze the electrical performance of the standards, including their accuracy, and the performance of CMOS-based calibration is compared to a reference calibration based on the technique and a high-precision impedance standard substrate. We find that CMOS calibration is indeed feasible for measurements in the low-gigahertz frequency range but not without implementation problems. I. INTRODUCTION THE commercial break-through of low-cost silicon CMOS technology as a competitive RF-IC contender is conditioned on the availability of accurate and reliable device models. However, accurate modeling calls for precise on-wafer measurements that are hard to obtain due to high substrate losses and low interconnect conductance inherent to CMOS technology []. On-wafer RF measurements are typically calibrated with a high-precision impedance standard substrate (ISS) fabricated with gold metallization and isolating alumina substrate. However, the actual measurement on the deviceunder-test is conducted on a different chip which displays different physical characteristics than the reference ISS. Most linear changes associated with substrate losses, contact effects, and metallization issues may be handled using accurate deembedding techniques. However, nonlinear changes that relate to the mode of propagation onto the chip are not easily handled by simple measures. Instead, on-wafer calibration directly from the die-under-test is a feasible solution. So far, on-wafer two-port calibration has only been demonstrated for silicon processes with highly insulating substrates; e.g. silicon on insulator []. However, the above mentioned mode problem is even more significant for low-cost CMOS processes with semi-insulating substrates. As these technologies are widely applied in various RF applications, the relevance of an on-wafer CMOS thru-reflect-line () calibration technique is therefore very high. In this paper, we will present a design method that facilitates the fabrication of effective standards which mitigate the effects of the silicon substrate. We shall investigate the accuracy by which we may fabricate these calibration standards in standard submicron CMOS technologies. For the first time, we conduct and benchmark-test a CMOS calibration using the NIST calibration comparison method []. II. CMOS IMPLEMENTATION With typical tolerances on resisitors on the order of -%, an accurate 5Ω standard cannot be easily fabricated in CMOS technology. Most common calibration methods depend heavily on the accuracy of the load standard and therefore it appears that [] is the most convincing form of on-chip calibration for low-cost silicon technologies. calibration is generally viewed as a highly accurate form of calibration and current standardized test measurements are based on this technique. circumvents some of the difficulties that are associated with getting a sufficiently accurate specification of the calibration standards. In this sense, it is attractive for low-accuracy technologies such as silicon CMOS technology. Basically, the only "practical" disadvantage of calibration is that very long line standards are needed to facilitate measurements in the low-gigahertz frequency range where RF CMOS circuitry usually operates. Compared to other approaches, such as the short-open-load-thru (SOLT) calibration, the algorithm does not relate directly to the error network representation of commonly used vector network analyzers (VNAs). Hence, some concerns of converting between the error network shown in Fig. and the VNA representation exist. In the following, we will adress some of these issues and show a solution. Fig.. Illustration of standards and error network. One basic issue of is the assumption of negligible forward coupling between the two ports. With standard CMOS substrates and test-structures, this condition is not fulfilled which previously has disqualified CMOS-based calibration. However, recently a new shield-based test fixture has been presented which effectively reduces the forward coupling to very low values even for low-resistivity substrates [5]. The basics of the shield-based test-fixture are illustrated in Fig.. In this work, we have utilized the general idea of shielding to fabricate a high-isolation calibration kit in a standard CMOS technology. By doing this, we ensure that (i) mode assumptions for calibration and device measurement are the same and (ii) the basic propagation becomes that of a pure microstrip rather than the hybrid of a coplanar waveguide and a microstrip which is the common CMOS scenario. Forward coupling levels of less than -5dB are easily obtained up to

2 8Hz using the shield-based approach [6]. S p- substrate round shield DUT ap S Shield extension metal layers. Both kits are shield based, i.e. the ground plane is formed by the lowest metal layer (M). A. 7Ω Calibration Kit The first calibration kit is shown in Fig.. The upper strip consists of the three uppermost metal layers (M6, M5, and M, see Fig. ). Due to the shield based approach the distance from strip to ground plane is very small, approximately µm. This gives a high capacitive coupling, and with the wide lines (85µm) the L/C ratio is small. The result is a low nominal reference impedance of approximately 7Ω. S Oxide p- substrate Top metal layer (M6) round shield (M) Fig.. Basics of shield-based test-fixture/transmission line [7]. A second issue of CMOS relates to the characteristic impedance of transmission lines and its specification in the VNA system. For typical aluminum based transmission lines the ohmic-loss per unit length is typically large compared to the per-unit-length reactance. As a result the imaginary part of transmission lines becomes significant at lower gigahertz frequencies. However, on most VNAs the specification of characteristic impedance is limited to real frequency-independent values. Due to this aspect, it is necessary to estimate/predict the characteristic impedance of the transmission line and to use off-line software to perform the calibration and extract the VNA error network parameters. A final issue is general to calibration and is concerned with the mapping between the error model and the VNA error model. If a direct transfer between the two representation is used, it is found that E SF = E LR = ε () E SR = E LF = ε () where the E-factors refer to the standard VNA error coefficients [8]. This implies that the impedance seen towards the switch does not depend on its switch setting (either forward or reverse). However, by utilizing the knowledge that has been extracted during the calibration algorithm, it is possible to take another set of measurements on the line and thru standards in order to isolate the switching errors. Thus a =a and a =a should be measured for the line and the thru providing additional information for characterizing the switches. However, in the present work switching errors are not accounted for. III. DESIN OF CMOS STANDARDS In the present work two calibration kits are presented. The first uses a line width equal to the RF pads (85µm). This reduces discontinuities and loss, but gives a small characteristic impedance. The other calibration kit is aimed for providing a nominal characteristic impedance of 5Ω. Both kits are produced in a.5µm standard epitaxial CMOS process with 6 Fig.. Calibration kit with a nominal 7Ω characteristic impedance. O denotes an open, T a thru (µm), S a short, R a reference impedance (nominal 5Ω), and L and L are lines (µm and 69µm, respectively). A. Line Modelling A simple model is formed of the employed lines, partly to give a coarse estimate of significant effects, and partly to see if it is possible to estimate the characteristic impedance from simple considerations. First the characteristics impedance Zo is estimated under the assumption of zero loss. Next, conductivity data from process specification, are used to derive a set of R [Ω/m], L [H/m], and C [F/m], assuming [S/m] is negligible. The result is compared to Momentum simulated data and to measured data ( calibrated) - see Fig.. The differences on db(s) are less than.db to 5Hz. However, the complex structure of the lines are not correctly represented in Momentum, giving discrepancies, which becomes even more evident when comparing estimated Zo s. A. Estimating Zo In the present work three different methods are used to estimate Zo. The first uses the above simple model, the second the gamma times length bi product of the calibration, and the third uses results from Momentum simulations. The results are shown in Fig. 5. As expected, the Zo is highly reactive at the low-gigahertz frequency range. The simple model and the gamma method agree well on the real part, whereas, compared to the other methods, the Momentum based Zo overestimates the real part. B. 5Ω Calibration Kit With the second calibration kit (see Fig. 6) another approach is taken. The target is here to have a nominal characteristic

3 impedance of 5Ω, leading to smaller directivity errors and thus less errorprone calibrations. Line (7ohm) S [db] (S) [deg] Meas Mom Mod 6 Meas Mom Mod 6 Fig.. Comparison of S for simple model (Mod), Momentum simulations (Mom), and Measured data (Meas). The length 57µm. is considered valid when the insertion phase difference between the thru and the line is between and 6 degrees. This limitation makes this kit applicable from approximately Hz and above 5Hz. Real(Zo) Imag(Zo) 5 Zo estimates Model 5 amma Momentum Model amma Momentum Fig. 5. Comparison of Zo estimates based on the simple model derived (Model), the gammal times lenght biproduct of the calibration, and (Momentum) using a mathematical estimate directly available from Momentum. Fig. 6. Calibration kit with a nominal characteristic impedance of 5Ω. O is an open, S is a short, T is a thru (6µm) and L is a line (5µm). This kit utilizes only two metal layers for the upper strip (M6,M5). The width is determined assuming lowloss lines and using commonly used and proven microstrip formulas. The result is a line width of µm. The length of the line extends this calibration kit to have a -6 degree insertion phase range from approximately.6hz to 6Hz. Unfortunately, this kit was not available in due time for measurements and benchmarking. IV. POSTPROCESSIN Before comparing calibration coefficients a common reference plane and impedance must be sought. The employed calibration routine tries to establish a reference impedance of 5Ω and a reference plane at the probe tips. The employed calibration routine tries to establish a reference impedance equal to the characteristic impedance of the microstrip lines and a reference plane in the middle of the thru. To shift reference plane of the calibration the RF pad and half the length of the thru are de-embedded. The RF pads to be de-embedded are modeled by (from probe heads to DUT): a resistor of 8mΩ, an impedance to ground and an impedance towards the DUT. Measuring the open and short structures, see Fig., it is possible to determine the two unknown impedances, and the RF pad and offset line are deembedded into the calibration coefficients. The calibration coefficients are read from the network analyzer in -term format, and must be converted to 8-term. This mapping is in general destructive, but if isolation terms and switching errors are negligible it is considered acceptable. The mapping used was proposed in [9]» X = ERF E DF E SF E DF () E SF Y = E» TF( E DR Γ ) ERR E DF E SR E DR () E RR E SR E Γ = LF E SR (5) E RR + E DR (E LF E SR ) X is the wave cascading parameters of the left-side error box, and Y is the wave cascading parameters of the right side error box, both determined during calibration. V. RENORMALIZIN Even the 5Ω calibration kit displays a characteristic impedances with a significant imaginary part, due to losses. After calibration the results must therefore be renormalized to 5Ω. Renormalization can be employed on the calibrated S- parameters, e.g. using the techniques proposed in [] or [].

4 However, for the current work the error boxes are used for benchmarking the calibration, and these must be corrected instead. This is accomplished by using the technique suggested in [] leading to p Γ Xren = Rren X Rren =» Γ Γ (7) Yren = Y Rren (8) Here Γ is given by Z o;n Z o Z o;n+z o,wherez o is the original reference impedance, and Z o;n is the new reference impedance. Y denotes an operator which change direction. Subscript "ren" denotes the renormalized error boxes. VI. CALIBRATION RESULTS The NIST calibration comparison method ([]) effectively calculates the following absolute maximum errors e ij = max(js ij s ij;rj) (9) where s ij;r is the S-parameter obtained for the reference calibration, and s ij is the S-parameter obtained from the calibration under test. To verify the calibration routine a SOLT calibration was implemented and used as a reference. Calibration standards, were embedded with a RLC circuit and a transmission line (different on each port). The two calibration methods were applied to data and the NIST calibration comparison method was used to compare the and SOLT calibration coefficients - see Fig x e 5 x e 5 x e 5 x e Fig. 7. NIST error for simulated data. The lines are formed using a lossy model and should thus be realistic. An ideal SOLT calibration is used as reference. To quantify the sensitivity towards errors in the Zo estimate, Zo was swept around a Zo estimate. The result at.8hz is shown in Fig. 8. Clearly, the result is highly sensitive to errors in the Zo estimate. Next, the calibration is compared to the ISS calibration. This is done by comparing S- parameters of an open and a short (Fig. 9), a line (Fig. ), (6) and a CMOS resistor (Fig. ). Finally, the worst case absolute errors on S-parameters are shown in Fig.. The results display periodicity, which is typically seen when inaccurate calibration is applied to a system with long cables. The calibrated data are mostly centered around the ISS calibrated data, but the accuracy is not acceptable..... e 5 e... 5 Re(Zo) e 8 e... 8 Im(Zo) Fig. 8. This graph illustrates the sensitivity of the calibration quality towards an error in the Zo estimate. The correct Zo is : j :68. The left column sweeps the real part of Zo with Im(Zo)= :68. The right column sweeps the imaginary part of Zo with Re(Z)=:. Frequency is.8hz. VII. CONCLUSIONS Two On-Wafer CMOS calibration kits were designed, layouted and implemented. Software routines for performing SOLT and calibrations were implemented and tested. The calibration routine was extended with renormalization routines to enable a shift from the characteristic impedance of the employed lines to 5Ω. In its current implementation the calibration routine is not sufficiently accurate. Based on the presented results it cannot be concluded if the switching error is causing the large error alone, and further study is needed. VIII. ACKNOWLEDMENTS The authors would like to thank Ole Kiel Jensen and Søren Laursen for their valuable comments and discussions, and Jan Mikkelsen for reviewing this manuscript. REFERENCES [] J. N. Burghartz, Silicon RF Technology The Two eneric Approaches, in Proceedings of European Solid-State Device Research Conference (ESSDERC), Stuttgart, ermany, September 997, pp. 5. [] R. illon, W. Van De Sype, D. Vanhoenacker, and L. Martens, Comparing High-Frequency De-Embedding Strategies: Immitance Correction and In-Situ Calibration, in Proceedings of IEEE International Conference on Microelectronic Test Structures (ICMTS), Monterey, California, USA, March, pp. 5.

5 S [db] S [db].5 Short.5 Open.5.5 Fig. 9. Open and Short S-parameters calibrated with and. S [db] S [deg] Match Fig.. CMOS resistor calibrated with and. S [db] S [deg] Line e e e e Fig.. db(s) of line calibrated with and. Fig.. NIST calibration comparison applied to versus. [] D. F. Williams, R. B. Marks, and A. Davidson, Comparison of On- Wafer Calibrations, in Automatic RF Techniques roup (ARFT) Conference Digest, San Diego, California, USA, December 99, pp []. F. Engen and C. A. Hoer, Thru-Reflect-Line: An Improved Technique for Calibrating the Dual Six-Port Automatic Network Analyzer, IEEE Transactions on Microwave Theory and Techniques, vol. 7, no., pp , December 979. [5] T. E. Kolding, O. K. Jensen, and T. Larsen, round-shielded Measuring Technique for Accurate On-Wafer Characterization of RF CMOS Devices, in Proceedings of IEEE International Conference on Microelectronic Test Structures (ICMTS), Monterey, California, USA, March, pp. 6. [6] T. E. Kolding, Shield-Based Microwave On-Wafer Device Measurements, Accepted for publication in IEEE Transactions on Microwave Theory and Techniques, August. [7] T. E. Kolding, On-Wafer Measuring Techniques for Characterizing RF CMOS Devices, Ph.D. thesis, RF Integrated Systems & Circuits (RISC) roup, Aalborg University, Niels Jernes Vej, 9 Aalborg Øst, Denmark, August 999. [8] Hewlett Packard Company, USA, HP Part no , HP 85B Network Analyzer 5MHz-Hz: Reaching New Levels of Performance and Versatility, May 987. [9] R. B. Marks, J. A. Jargon, and J. R. Juroshek, Calibration Comparison Method for Vector Network Analyzers, in Automatic RF Techniques roup (ARFT) Conference Digest, Clearwater, Florida, USA, December 996, pp [] J. C. Rautio, Techniques for Correcting Scattering Parameter Data of an Imperfectly Terminated Multiport when Measured with a Two-Port Network Analyzer, IEEE Transactions on Microwave Theory and Techniques, vol., no. 5, pp. 7, May 98. [] H. Dropkin, Comments on A Rigorous Technique for Measuring the Scattering Matrix of a Multiport Device with a Two-Port Network Analyzer, IEEE Transactions on Microwave Theory and Techniques, vol., no., pp. 79 8, January 98. [] R. B. Marks and D. F. Williams, A eneral Waveguide Circuit Theory, Journal of Research of the National Institute of Standards and Technology, vol. 97, no. 5, pp. 5 56, September-October 99.

Introduction to On-Wafer Characterization at Microwave Frequencies

Introduction to On-Wafer Characterization at Microwave Frequencies Introduction to On-Wafer Characterization at Microwave Frequencies Chinh Doan Graduate Student University of California, Berkeley Introduction to On-Wafer Characterization at Microwave Frequencies Dr.

More information

There is a twenty db improvement in the reflection measurements when the port match errors are removed.

There is a twenty db improvement in the reflection measurements when the port match errors are removed. ABSTRACT Many improvements have occurred in microwave error correction techniques the past few years. The various error sources which degrade calibration accuracy is better understood. Standards have been

More information

ON-WAFER CALIBRATION USING SPACE-CONSERVATIVE (SOLT) STANDARDS. M. Imparato, T. Weller and L. Dunleavy

ON-WAFER CALIBRATION USING SPACE-CONSERVATIVE (SOLT) STANDARDS. M. Imparato, T. Weller and L. Dunleavy ON-WAFER CALIBRATION USING SPACE-CONSERVATIVE (SOLT) STANDARDS M. Imparato, T. Weller and L. Dunleavy Electrical Engineering Department University of South Florida, Tampa, FL 33620 ABSTRACT In this paper

More information

Verification of LRRM Calibrations with Load Inductance Compensation for CPW Measurements on GaAs Substrates

Verification of LRRM Calibrations with Load Inductance Compensation for CPW Measurements on GaAs Substrates Verification of LRRM Calibrations with Load Inductance Compensation for CPW Measurements on GaAs Substrates J.E. Pence Cascade Microtech, 2430 NW 206th Avenue, Beaverton, OR 97006 Abstract The on-wafer

More information

CHAPTER 4. Practical Design

CHAPTER 4. Practical Design CHAPTER 4 Practical Design The results in Chapter 3 indicate that the 2-D CCS TL can be used to synthesize a wider range of characteristic impedance, flatten propagation characteristics, and place passive

More information

Measurements with Scattering Parameter By Joseph L. Cahak Copyright 2013 Sunshine Design Engineering Services

Measurements with Scattering Parameter By Joseph L. Cahak Copyright 2013 Sunshine Design Engineering Services Measurements with Scattering Parameter By Joseph L. Cahak Copyright 2013 Sunshine Design Engineering Services Network Analyzer Measurements In many RF and Microwave measurements the S-Parameters are typically

More information

Vector Network Analyzer

Vector Network Analyzer Vector Network Analyzer VNA Basics VNA Roadshow Budapest 17/05/2016 Content Why Users Need VNAs VNA Terminology System Architecture Key Components Basic Measurements Calibration Methods Accuracy and Uncertainty

More information

Challenges and Solutions for Removing Fixture Effects in Multi-port Measurements

Challenges and Solutions for Removing Fixture Effects in Multi-port Measurements DesignCon 2008 Challenges and Solutions for Removing Fixture Effects in Multi-port Measurements Robert Schaefer, Agilent Technologies schaefer-public@agilent.com Abstract As data rates continue to rise

More information

Managing Complex Impedance, Isolation & Calibration for KGD RF Test Abstract

Managing Complex Impedance, Isolation & Calibration for KGD RF Test Abstract Managing Complex Impedance, Isolation & Calibration for KGD RF Test Roger Hayward and Jeff Arasmith Cascade Microtech, Inc. Production Products Division 9100 SW Gemini Drive, Beaverton, OR 97008 503-601-1000,

More information

Coaxial TRL Calibration Kits for Network Analyzers up to 40 GHz

Coaxial TRL Calibration Kits for Network Analyzers up to 40 GHz Focus Microwaves Inc. 277 Lakeshore Road Pointe-Claire, Quebec H9S-4L2, Canada Tel 514-630-6067 Fax 514-630-7466 Product Note No 2 Coaxial TRL Calibration Kits for Network Analyzers up to 40 GHz This note

More information

Determination of Uncertainty for Dielectric Properties Determination of Printed Circuit Board Material

Determination of Uncertainty for Dielectric Properties Determination of Printed Circuit Board Material Determination of Uncertainty for Dielectric Properties Determination of Printed Circuit Board Material Marko Kettunen, Kare-Petri Lätti, Janne-Matti Heinola, Juha-Pekka Ström and Pertti Silventoinen Lappeenranta

More information

ECE 4265/6265 Laboratory Project 7 Network Analyzer Calibration

ECE 4265/6265 Laboratory Project 7 Network Analyzer Calibration ECE 4265/6265 Laboratory Project 7 Network Analyzer Calibration Objectives The purpose of this lab is to introduce the concepts of calibration and error correction for microwave s-parameter measurements.

More information

Gain Lab. Image interference during downconversion. Images in Downconversion. Course ECE 684: Microwave Metrology. Lecture Gain and TRL labs

Gain Lab. Image interference during downconversion. Images in Downconversion. Course ECE 684: Microwave Metrology. Lecture Gain and TRL labs Gain Lab Department of Electrical and Computer Engineering University of Massachusetts, Amherst Course ECE 684: Microwave Metrology Lecture Gain and TRL labs In lab we will be constructing a downconverter.

More information

On-Wafer Noise Parameter Measurements using Cold-Noise Source and Automatic Receiver Calibration

On-Wafer Noise Parameter Measurements using Cold-Noise Source and Automatic Receiver Calibration Focus Microwaves Inc. 970 Montee de Liesse, Suite 308 Ville St.Laurent, Quebec, Canada, H4T-1W7 Tel: +1-514-335-67, Fax: +1-514-335-687 E-mail: info@focus-microwaves.com Website: http://www.focus-microwaves.com

More information

Comparison of Various RF Calibration Techniques in Production: Which is Right for You? Daniel Bock, Ph.D.

Comparison of Various RF Calibration Techniques in Production: Which is Right for You? Daniel Bock, Ph.D. Comparison of Various RF Calibration Techniques in Production: Which is Right for You? Daniel Bock, Ph.D. Overview Introduction How does Calibration Work Types of Calibrations Comparison of Calibration

More information

application In-Fixture Measurements Using Vector Network Analyzers Network Analysis Solutions Application Note

application In-Fixture Measurements Using Vector Network Analyzers Network Analysis Solutions Application Note application Network Analysis Solutions In-Fixture Measurements Using Vector Network Analyzers Application Note 1287-9 Table of contents Introduction..................................................3 The

More information

On the De-embedding of Small Value Millimeter-wave CMOS Inductor Measurements

On the De-embedding of Small Value Millimeter-wave CMOS Inductor Measurements On the De-embedding of Small Value Millimeter-wave CMOS Inductor Measurements Michael Kraemer, Daniela Dragomirescu, Alexandre Rumeau, Robert Plana To cite this version: Michael Kraemer, Daniela Dragomirescu,

More information

Vector Network Analyzer Application note

Vector Network Analyzer Application note Vector Network Analyzer Application note Version 1.0 Vector Network Analyzer Introduction A vector network analyzer is used to measure the performance of circuits or networks such as amplifiers, filters,

More information

Calibration and De-Embedding Techniques in the Frequency Domain

Calibration and De-Embedding Techniques in the Frequency Domain Calibration and De-Embedding Techniques in the Frequency Domain Tom Dagostino tom@teraspeed.com Alfred P. Neves al@teraspeed.com Page 1 Teraspeed Labs Teraspeed Consulting Group LLC 2008 Teraspeed Consulting

More information

NATIONAL UNIVERSITY of SINGAPORE

NATIONAL UNIVERSITY of SINGAPORE NATIONAL UNIVERSITY of SINGAPORE Faculty of Engineering Electrical & Computer Engineering Department EE3104 Introduction to RF and Microwave Systems & Circuits Experiment 1 Familiarization on VNA Calibration

More information

Wafer-Level Calibration & Verification up to 750 GHz. Choon Beng Sia, Ph.D. Mobile:

Wafer-Level Calibration & Verification up to 750 GHz. Choon Beng Sia, Ph.D.   Mobile: Wafer-Level Calibration & Verification up to 750 GHz Choon Beng Sia, Ph.D. Email: Choonbeng.sia@cmicro.com Mobile: +65 8186 7090 2016 Outline LRRM vs SOLT Calibration Verification Over-temperature RF calibration

More information

Examining The Concept Of Ground In Electromagnetic (EM) Simulation

Examining The Concept Of Ground In Electromagnetic (EM) Simulation Examining The Concept Of Ground In Electromagnetic (EM) Simulation While circuit simulators require a global ground, EM simulators don t concern themselves with ground at all. As a result, it is the designer

More information

ENGAT00000 to ENGAT00010

ENGAT00000 to ENGAT00010 Wideband Fixed Attenuator Family, DIE, DC to 50 GHz ENGAT00000 / 00001 / 00002 / 00003 / 00004 / 00005 / 00006 / 00007 / 00008 / 00009 / 00010 Typical Applications ENGAT00000 to ENGAT00010 Features Space

More information

Extraction of Broadband Error Boxes for Microprobes and Recessed Probe Launches for Measurement of Printed Circuit Board Structures

Extraction of Broadband Error Boxes for Microprobes and Recessed Probe Launches for Measurement of Printed Circuit Board Structures Extraction of Broadband Error Boxes for Microprobes and Recessed Probe Launches for Measurement of Printed Circuit Board Structures, Renato Rimolo-Donadio, Christian Schuster Institut für TU Hamburg-Harburg,

More information

Keysight Technologies In-Fixture Measurements Using Vector Network Analyzers. Application Note

Keysight Technologies In-Fixture Measurements Using Vector Network Analyzers. Application Note Keysight Technologies In-Fixture Measurements Using Vector Network Analyzers Application Note Introduction This application note describes the use of vector network analyzers when making measurements of

More information

Validation & Analysis of Complex Serial Bus Link Models

Validation & Analysis of Complex Serial Bus Link Models Validation & Analysis of Complex Serial Bus Link Models Version 1.0 John Pickerd, Tektronix, Inc John.J.Pickerd@Tek.com 503-627-5122 Kan Tan, Tektronix, Inc Kan.Tan@Tektronix.com 503-627-2049 Abstract

More information

Microwave Metrology -ECE 684 Spring Lab Exercise T: TRL Calibration and Probe-Based Measurement

Microwave Metrology -ECE 684 Spring Lab Exercise T: TRL Calibration and Probe-Based Measurement ab Exercise T: TR Calibration and Probe-Based Measurement In this project, you will measure the full phase and magnitude S parameters of several surface mounted components. You will then develop circuit

More information

ON-CHIP TECHNOLOGY INDEPENDENT 3-D MOD- ELS FOR MILLIMETER-WAVE TRANSMISSION LINES WITH BEND AND GAP DISCONTINUITY

ON-CHIP TECHNOLOGY INDEPENDENT 3-D MOD- ELS FOR MILLIMETER-WAVE TRANSMISSION LINES WITH BEND AND GAP DISCONTINUITY Progress In Electromagnetics Research B, Vol. 22, 171 185, 2010 ON-CHIP TECHNOLOGY INDEPENDENT 3-D MOD- ELS FOR MILLIMETER-WAVE TRANSMISSION LINES WITH BEND AND GAP DISCONTINUITY G. A. Wang, W. Woods,

More information

Scattered thoughts on Scattering Parameters By Joseph L. Cahak Copyright 2013 Sunshine Design Engineering Services

Scattered thoughts on Scattering Parameters By Joseph L. Cahak Copyright 2013 Sunshine Design Engineering Services Scattered thoughts on Scattering Parameters By Joseph L. Cahak Copyright 2013 Sunshine Design Engineering Services Scattering parameters or S-parameters (aka Spars) are used by RF and microwave engineers

More information

FABRICATING AND USING A PCB-BASED TRL PATTERN WITH A CMT VNA

FABRICATING AND USING A PCB-BASED TRL PATTERN WITH A CMT VNA FABRICATING AND USING A PCB-BASED TRL PATTERN WITH A CMT VNA 03/19/2018 Introduction Copper Mountain Technologies provides metrologically sound, lab grade USB VNAs which support advanced calibration techniques,

More information

AC-2 Calibration Substrate

AC-2 Calibration Substrate AC-2 Calibration Substrate AC-2 calibration substrate is designed to provide accurate probe tip calibration of MPI TITAN RF probe family with ground-signal-ground (GSG) probe tips configuration and accommodates

More information

Custom Interconnects Fuzz Button with Hardhat Test Socket/Interposer 1.00 mm pitch

Custom Interconnects Fuzz Button with Hardhat Test Socket/Interposer 1.00 mm pitch Custom Interconnects Fuzz Button with Hardhat Test Socket/Interposer 1.00 mm pitch Measurement and Model Results prepared by Gert Hohenwarter 12/14/2015 1 Table of Contents TABLE OF CONTENTS...2 OBJECTIVE...

More information

Agilent Accurate Measurement of Packaged RF Devices. White Paper

Agilent Accurate Measurement of Packaged RF Devices. White Paper Agilent Accurate Measurement of Packaged RF Devices White Paper Slide #1 Slide #2 Accurate Measurement of Packaged RF Devices How to Measure These Devices RF and MW Device Test Seminar 1995 smafilt.tif

More information

A New Noise Parameter Measurement Method Results in More than 100x Speed Improvement and Enhanced Measurement Accuracy

A New Noise Parameter Measurement Method Results in More than 100x Speed Improvement and Enhanced Measurement Accuracy MAURY MICROWAVE CORPORATION March 2013 A New Noise Parameter Measurement Method Results in More than 100x Speed Improvement and Enhanced Measurement Accuracy Gary Simpson 1, David Ballo 2, Joel Dunsmore

More information

(a) The insertion loss is the average value of the transmission coefficient, S12 (db), in the passband (Figure 1 Label A)

(a) The insertion loss is the average value of the transmission coefficient, S12 (db), in the passband (Figure 1 Label A) Lab 6-1: Microwave Multiport Circuits In this lab you will characterize several different multiport microstrip and coaxial components using a network analyzer. Some, but not all, of these components have

More information

Circuit Characterization with the Agilent 8714 VNA

Circuit Characterization with the Agilent 8714 VNA Circuit Characterization with the Agilent 8714 VNA By: Larry Dunleavy Wireless and Microwave Instruments University of South Florida Objectives 1) To examine the concepts of reflection, phase shift, attenuation,

More information

CHQ SERIES. Surface Mount Chip Capacitors: Ultra High Frequency

CHQ SERIES. Surface Mount Chip Capacitors: Ultra High Frequency 26 High Frequency Measurement and Performance of High Multilayer Ceramic Capacitors Introduction Capacitors used in High Frequency applications are generally used in two particular circuit applications:

More information

S-parameters. Jvdtang. RFTE course, #3: RF specifications and system design (I) 73

S-parameters. Jvdtang. RFTE course, #3: RF specifications and system design (I) 73 S-parameters RFTE course, #3: RF specifications and system design (I) 73 S-parameters (II) Linear networks, or nonlinear networks operating with signals sufficiently small to cause the networks to respond

More information

Infinity Probe Mechanical Layout Rules

Infinity Probe Mechanical Layout Rules Infinity Probe Mechanical Layout Rules APPLICATION NOTE Introduction The explosive growth of smart phones has led to advancements in communications protocols, such as 4G and 5G. This leads to technological

More information

Research Article Compact and Wideband Parallel-Strip 180 Hybrid Coupler with Arbitrary Power Division Ratios

Research Article Compact and Wideband Parallel-Strip 180 Hybrid Coupler with Arbitrary Power Division Ratios Microwave Science and Technology Volume 13, Article ID 56734, 1 pages http://dx.doi.org/1.1155/13/56734 Research Article Compact and Wideband Parallel-Strip 18 Hybrid Coupler with Arbitrary Power Division

More information

A Simplified QFN Package Characterization Technique

A Simplified QFN Package Characterization Technique Slide -1 A Simplified QFN Package Characterization Technique Dr. Eric Bogatin and Trevor Mitchell Bogatin Enterprises Dick Otte, President, Promex 8/1/10 Slide -2 Goal of this Project Develop a simple

More information

Bill Ham Martin Ogbuokiri. This clause specifies the electrical performance requirements for shielded and unshielded cables.

Bill Ham Martin Ogbuokiri. This clause specifies the electrical performance requirements for shielded and unshielded cables. 098-219r2 Prepared by: Ed Armstrong Zane Daggett Bill Ham Martin Ogbuokiri Date: 07-24-98 Revised: 09-29-98 Revised again: 10-14-98 Revised again: 12-2-98 Revised again: 01-18-99 1. REQUIREMENTS FOR SPI-3

More information

Document Version Publisher s PDF, also known as Version of Record (includes final page, issue and volume numbers)

Document Version Publisher s PDF, also known as Version of Record (includes final page, issue and volume numbers) Noise figure and S-parameter measurement setups for on-wafer differential 60GHz circuits Sakian Dezfuli, P.; Janssen, E.J.G.; Essing, J.A.J.; Mahmoudi, R.; van Roermund, A.H.M. Published in: Proceedings

More information

Agilent On-wafer Balanced Component Measurement using the ENA RF Network Analyzer with the Cascade Microtech Probing System. Product Note E5070/71-3

Agilent On-wafer Balanced Component Measurement using the ENA RF Network Analyzer with the Cascade Microtech Probing System. Product Note E5070/71-3 Agilent On-wafer Balanced Component Measurement using the ENA RF Network Analyzer with the Cascade Microtech Probing ystem Product Note E5070/71-3 Introduction The use of differential circuit topologies

More information

Introduction: Planar Transmission Lines

Introduction: Planar Transmission Lines Chapter-1 Introduction: Planar Transmission Lines 1.1 Overview Microwave integrated circuit (MIC) techniques represent an extension of integrated circuit technology to microwave frequencies. Since four

More information

Application Note 5525

Application Note 5525 Using the Wafer Scale Packaged Detector in 2 to 6 GHz Applications Application Note 5525 Introduction The is a broadband directional coupler with integrated temperature compensated detector designed for

More information

A PROBE TECHNOLOGY FOR 110+ GHZ INTEGRATED CIRCUITS WITH ALUMINUM PADS

A PROBE TECHNOLOGY FOR 110+ GHZ INTEGRATED CIRCUITS WITH ALUMINUM PADS A PROBE TECHNOLOGY FOR 11+ GHZ INTEGRATED CIRCUITS WITH ALUMINUM PADS Amr M. E. Safwat, Mike Andrews, Leonard Hayden, K. Reed Gleason and Eric Strid Cascade Microtech, Inc. 243 NW 26th Avenue, Beaverton,

More information

Extraction of Transmission Line Parameters and Effect of Conductive Substrates on their Characteristics

Extraction of Transmission Line Parameters and Effect of Conductive Substrates on their Characteristics ROMANIAN JOURNAL OF INFORMATION SCIENCE AND TECHNOLOGY Volume 19, Number 3, 2016, 199 212 Extraction of Transmission Line Parameters and Effect of Conductive Substrates on their Characteristics Saurabh

More information

University of Pennsylvania Department of Electrical and Systems Engineering ESE319

University of Pennsylvania Department of Electrical and Systems Engineering ESE319 University of Pennsylvania Department of Electrical and Systems Engineering ESE39 Laboratory Experiment Parasitic Capacitance and Oscilloscope Loading This lab is designed to familiarize you with some

More information

2.2 INTERCONNECTS AND TRANSMISSION LINE MODELS

2.2 INTERCONNECTS AND TRANSMISSION LINE MODELS CHAPTER 2 MODELING OF SELF-HEATING IN IC INTERCONNECTS AND INVESTIGATION ON THE IMPACT ON INTERMODULATION DISTORTION 2.1 CONCEPT OF SELF-HEATING As the frequency of operation increases, especially in the

More information

Design of Microwave MCM-D CPW Quadrature Couplers and Power Dividers in X-, Ku- and Kaband

Design of Microwave MCM-D CPW Quadrature Couplers and Power Dividers in X-, Ku- and Kaband Design of Microwave MCM-D CPW Quadrature Couplers and Power Dividers in X-, Ku- and Ka-band Design of Microwave MCM-D CPW Quadrature Couplers and Power Dividers in X-, Ku- and Kaband G. Carchon*, S. Brebels

More information

Archive 2017 BiTS Workshop- Image: Easyturn/iStock

Archive 2017 BiTS Workshop- Image: Easyturn/iStock Archive September 6-7, 2017 InterContinental Shanghai Pudong Hotel - Shanghai, China Archive 2017 BiTS Workshop- Image: Easyturn/iStock September 6-7, 2017 Archive COPYRIGHT NOTICE This multimedia file

More information

Precise Microwave Vector Measurements

Precise Microwave Vector Measurements Precise Microwave Vector Measurements Karel Hoffmann Czech Technical University in Prague Faculty of Electrical Engineering Department of Electromagnetic Field Technická 2, 162 Prague 6, Czech Republic

More information

Configuration of PNA-X, NVNA and X parameters

Configuration of PNA-X, NVNA and X parameters Configuration of PNA-X, NVNA and X parameters VNA 1. S-Parameter Measurements 2. Harmonic Measurements NVNA 3. X-Parameter Measurements Introducing the PNA-X 50 GHz 43.5 GHz 26.5 GHz 13.5 GHz PNA-X Agilent

More information

RF and Microwave Test and Design Roadshow 5 Locations across Australia and New Zealand

RF and Microwave Test and Design Roadshow 5 Locations across Australia and New Zealand RF and Microwave Test and Design Roadshow 5 Locations across Australia and New Zealand Advanced VNA Measurements Agenda Overview of the PXIe-5632 Architecture SW Experience Overview of VNA Calibration

More information

Agilent Network Analysis Applying the 8510 TRL Calibration for Non-Coaxial Measurements. Product Note A

Agilent Network Analysis Applying the 8510 TRL Calibration for Non-Coaxial Measurements. Product Note A Agilent Network Analysis Applying the 8510 TRL Calibration for Non-Coaxial Measurements Product Note 8510-8A Introduction This note describes how the Agilent 8510 network analyzer can be used to make error-corrected

More information

Performance Evaluation of CMOS Varactors for Wireless RF Applications

Performance Evaluation of CMOS Varactors for Wireless RF Applications Performance Evaluation of MOS Varactors for Wireless RF Applications Erik Pedersen RIS roup, Aalborg University Maxon ellular Systems (Denmark) A/S Fredrik Bajers Vej 7-A6, 90 Aalborg East, Denmark Phone:

More information

MOST high-frequency and microwave circuit analysis

MOST high-frequency and microwave circuit analysis 770 IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 2, FEBRUARY 2005 Deembedding the Effect of a Local Ground Plane in Electromagnetic Analysis James C. Rautio, Fellow, IEEE Abstract

More information

WinCal XE. Leonard Hayden Cascade Microtech, Inc.

WinCal XE. Leonard Hayden Cascade Microtech, Inc. WinCal XE - The Microwave Tool Leonard Hayden Cascade Microtech, Inc. Presentation Outline WinCal XE TM Software application for vector network analyzer probing and measurement Overview of WinCal XE features

More information

Mm-wave characterisation of printed circuit boards

Mm-wave characterisation of printed circuit boards Mm-wave characterisation of printed circuit boards Dmitry Zelenchuk 1, Vincent Fusco 1, George Goussetis 1, Antonio Mendez 2, David Linton 1 ECIT Research Institute: Queens University of Belfast, UK 1

More information

Measurement Results and Analysis on a HBC Channel M. D. Pereira RFIC Research Group - Federal University of Santa Catarina - Brazil June 11, 2014

Measurement Results and Analysis on a HBC Channel M. D. Pereira RFIC Research Group - Federal University of Santa Catarina - Brazil June 11, 2014 Measurement Results and Analysis on a HBC Channel M. D. Pereira RFIC Research Group - Federal University of Santa Catarina - Brazil June 11, 2014 Presentation Outline What is HBC Channel characterization

More information

Network Analysis Basics

Network Analysis Basics Adolfo Del Solar Application Engineer adolfo_del-solar@agilent.com MD1010 Network B2B Agenda Overview What Measurements do we make? Network Analyzer Hardware Error Models and Calibration Example Measurements

More information

1 Introduction 3. 2 Layers 3. 3 Standard Dimensions 4. 4 Measurements 4. 5 Surface SEM Scans 9. 6 Cross Section SEM Scans 11

1 Introduction 3. 2 Layers 3. 3 Standard Dimensions 4. 4 Measurements 4. 5 Surface SEM Scans 9. 6 Cross Section SEM Scans 11 Experimental Determination of On Chip Interconnect Capacitances Final Report Second Edition March 998 SEMATECH Agreement 3453 IC Test Report Michael B. Steer, Paul D. Franzon, Westereld J. Ficken, Alan

More information

The 2-Port Shunt-Through Measurement and the Inherent Ground Loop

The 2-Port Shunt-Through Measurement and the Inherent Ground Loop The Measurement and the Inherent Ground Loop The 2-port shunt-through measurement is the gold standard for measuring milliohm impedances while supporting measurement at very high frequencies (GHz). These

More information

Improving CDM Measurements With Frequency Domain Specifications

Improving CDM Measurements With Frequency Domain Specifications Improving CDM Measurements With Frequency Domain Specifications Jon Barth (1), Leo G. Henry Ph.D (2), John Richner (1) (1) Barth Electronics, Inc, 1589 Foothill Drive, Boulder City, NV 89005 USA tel.:

More information

Electronic Package Failure Analysis Using TDR

Electronic Package Failure Analysis Using TDR Application Note Electronic Package Failure Analysis Using TDR Introduction Time Domain Reflectometry (TDR) measurement methodology is increasing in importance as a nondestructive method for fault location

More information

MP 4.3 Monolithic CMOS Distributed Amplifier and Oscillator

MP 4.3 Monolithic CMOS Distributed Amplifier and Oscillator MP 4.3 Monolithic CMOS Distributed Amplifier and Oscillator Bendik Kleveland, Carlos H. Diaz 1 *, Dieter Vook 1, Liam Madden 2, Thomas H. Lee, S. Simon Wong Stanford University, Stanford, CA 1 Hewlett-Packard

More information

Millimeter- and Submillimeter-Wave Planar Varactor Sideband Generators

Millimeter- and Submillimeter-Wave Planar Varactor Sideband Generators Millimeter- and Submillimeter-Wave Planar Varactor Sideband Generators Haiyong Xu, Gerhard S. Schoenthal, Robert M. Weikle, Jeffrey L. Hesler, and Thomas W. Crowe Department of Electrical and Computer

More information

Characterization of Balanced Digital Components and Communication Paths

Characterization of Balanced Digital Components and Communication Paths Characterization of Balanced Digital Components and Communication Paths This paper describes a method and a system for accurately and comprehensively characterizing the linear performance of balanced devices.

More information

Efficient Electromagnetic Analysis of Spiral Inductor Patterned Ground Shields

Efficient Electromagnetic Analysis of Spiral Inductor Patterned Ground Shields Efficient Electromagnetic Analysis of Spiral Inductor Patterned Ground Shields James C. Rautio, James D. Merrill, and Michael J. Kobasa Sonnet Software, North Syracuse, NY, 13212, USA Abstract Patterned

More information

Keysight Technologies Signal Integrity Tips and Techniques Using TDR, VNA and Modeling

Keysight Technologies Signal Integrity Tips and Techniques Using TDR, VNA and Modeling Keysight Technologies Signal Integrity Tips and Techniques Using, VNA and Modeling Article Reprint This article first appeared in the March 216 edition of Microwave Journal. Reprinted with kind permission

More information

Data Sheet. VMMK GHz Directional Detector in SMT Package. Features. Description. Specifications (35 GHz, Vb = 1.5 V, Zin = Zout = 50 Ω)

Data Sheet. VMMK GHz Directional Detector in SMT Package. Features. Description. Specifications (35 GHz, Vb = 1.5 V, Zin = Zout = 50 Ω) VMMK-3413 25-45 GHz Directional Detector in SMT Package Data Sheet Description The VMMK-3413 is a small and easy-to-use, broadband, directional detector operating in various frequency bands from 25 to

More information

Vector-Receiver Load Pull Measurement

Vector-Receiver Load Pull Measurement MAURY MICROWAVE CORPORATION Vector-Receiver Load Pull Measurement Article Reprint of the Special Report first published in The Microwave Journal February 2011 issue. Reprinted with permission. Author:

More information

Design and Analysis of Novel Compact Inductor Resonator Filter

Design and Analysis of Novel Compact Inductor Resonator Filter Design and Analysis of Novel Compact Inductor Resonator Filter Gye-An Lee 1, Mohamed Megahed 2, and Franco De Flaviis 1. 1 Department of Electrical and Computer Engineering University of California, Irvine

More information

A 6 : 1 UNEQUAL WILKINSON POWER DIVIDER WITH EBG CPW

A 6 : 1 UNEQUAL WILKINSON POWER DIVIDER WITH EBG CPW Progress In Electromagnetics Research Letters, Vol. 8, 151 159, 2009 A 6 : 1 UNEQUAL WILKINSON POWER DIVIDER WITH EBG CPW C.-P. Chang, C.-C. Su, S.-H. Hung, and Y.-H. Wang Institute of Microelectronics,

More information

Power Distribution Network Testing through Impedance Analysis

Power Distribution Network Testing through Impedance Analysis Power Distribution Network Testing through Impedance Analysis Andrea D Aquino Phone: +49 89 4129 13044 E-Mail: andrea.daquino@rohde-schwarz.com Address: Rohde&Schwarz, Dept. 1EP2, Muehldorfstrasse 15,

More information

THE DESIGN of microwave filters is based on

THE DESIGN of microwave filters is based on IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 46, NO. 4, APRIL 1998 343 A Unified Approach to the Design, Measurement, and Tuning of Coupled-Resonator Filters John B. Ness Abstract The concept

More information

Waveguide Calibration with Copper Mountain Technologies VNA

Waveguide Calibration with Copper Mountain Technologies VNA Clarke & Severn Electronics Ph: +612 9482 1944 BUY NOW www.cseonline.com.au Introduction Waveguide components possess certain advantages over their counterpart devices with co-axial connectors: they can

More information

T est POST OFFICE BOX 1927 CUPERTINO, CA TEL E P H ONE (408) FAX (408) ARIES ELECTRONICS

T est POST OFFICE BOX 1927 CUPERTINO, CA TEL E P H ONE (408) FAX (408) ARIES ELECTRONICS G iga T est L abs POST OFFICE BOX 1927 CUPERTINO, CA 95015 TEL E P H ONE (408) 524-2700 FAX (408) 524-2777 ARIES ELECTRONICS BGA SOCKET (0.80MM TEST CENTER PROBE CONTACT) Final Report Electrical Characterization

More information

Compact Distributed Phase Shifters at X-Band Using BST

Compact Distributed Phase Shifters at X-Band Using BST Integrated Ferroelectrics, 56: 1087 1095, 2003 Copyright C Taylor & Francis Inc. ISSN: 1058-4587 print/ 1607-8489 online DOI: 10.1080/10584580390259623 Compact Distributed Phase Shifters at X-Band Using

More information

AN ABSTRACT OF THE THESIS OF. Kyle M. Webb for the degree of Master of Science in. Electrical and Computer Engineering presented on June 27, 2005.

AN ABSTRACT OF THE THESIS OF. Kyle M. Webb for the degree of Master of Science in. Electrical and Computer Engineering presented on June 27, 2005. AN ABSTRACT OF THE THESIS OF Kyle M. Webb for the degree of Master of Science in Electrical and Computer Engineering presented on June 27, 2005. Title: A Test Fixture and Deembedding Procedure for High-Frequency

More information

Keysight Technologies In-ixture Microstrip Device Measurements Using TRL * Calibration. Application Note

Keysight Technologies In-ixture Microstrip Device Measurements Using TRL * Calibration. Application Note Keysight Technologies In-ixture Microstrip Device Measurements Using TRL * Calibration Application Note Introduction The 8720C, 8719C, and 8722A microwave network analyzers have the capability of making

More information

Equivalent Circuit Model Overview of Chip Spiral Inductors

Equivalent Circuit Model Overview of Chip Spiral Inductors Equivalent Circuit Model Overview of Chip Spiral Inductors The applications of the chip Spiral Inductors have been widely used in telecommunication products as wireless LAN cards, Mobile Phone and so on.

More information

High Speed Characterization Report

High Speed Characterization Report SSW-1XX-22-X-D-VS Mates with TSM-1XX-1-X-DV-X Description: Surface Mount Terminal Strip,.1 [2.54mm] Pitch, 13.59mm (.535 ) Stack Height Samtec, Inc. 25 All Rights Reserved Table of Contents Connector Overview...

More information

Simplifying the Art of Terahertz Measurements

Simplifying the Art of Terahertz Measurements Simplifying the Art of Terahertz Measurements Achieving metrology-level accuracy with a manual probe system With significant expansion of emerging THz applications, such as non-invasive spectroscopy, security

More information

insert link to the published version of your paper

insert link to the published version of your paper Citation Niels Van Thienen, Wouter Steyaert, Yang Zhang, Patrick Reynaert, (215), On-chip and In-package Antennas for mm-wave CMOS Circuits Proceedings of the 9th European Conference on Antennas and Propagation

More information

Aries QFP microstrip socket

Aries QFP microstrip socket Aries QFP microstrip socket Measurement and Model Results prepared by Gert Hohenwarter 2/18/05 1 Table of Contents Table of Contents... 2 OBJECTIVE... 3 METHODOLOGY... 3 Test procedures... 4 Setup... 4

More information

FDTD SPICE Analysis of High-Speed Cells in Silicon Integrated Circuits

FDTD SPICE Analysis of High-Speed Cells in Silicon Integrated Circuits FDTD Analysis of High-Speed Cells in Silicon Integrated Circuits Neven Orhanovic and Norio Matsui Applied Simulation Technology Gateway Place, Suite 8 San Jose, CA 9 {neven, matsui}@apsimtech.com Abstract

More information

Impact of etch factor on characteristic impedance, crosstalk and board density

Impact of etch factor on characteristic impedance, crosstalk and board density IMAPS 2012 - San Diego, California, USA, 45th International Symposium on Microelectronics Impact of etch factor on characteristic impedance, crosstalk and board density Abdelghani Renbi, Arash Risseh,

More information

Verification Structures for Transmission Line Pulse Measurements

Verification Structures for Transmission Line Pulse Measurements Verification Structures for Transmission Line Pulse Measurements R.A. Ashton Agere Systems, 9333 South John Young Parkway, Orlando, Florida, 32819 USA Phone: 44-371-731; Fax: 47-371-777; e-mail: rashton@agere.com

More information

Aries CSP microstrip socket Cycling test

Aries CSP microstrip socket Cycling test Aries CSP microstrip socket Cycling test RF Measurement Results prepared by Gert Hohenwarter 2/18/05 1 Table of Contents TABLE OF CONTENTS... 2 OBJECTIVE... 3 METHODOLOGY... 3 Test procedures... 6 Setup...

More information

Reflection measurement methods for characterization of dielectric properties

Reflection measurement methods for characterization of dielectric properties Reflection measurement methods for characterization of dielectric properties M. Zimmermanns, B. Will, and I. Rolfes, Member, IEEE Index Terms Reflection measurements, dielectric materials, free space,

More information

Comparison of IC Conducted Emission Measurement Methods

Comparison of IC Conducted Emission Measurement Methods IEEE TRANSACTIONS ON INSTRUMENTATION AND MEASUREMENT, VOL. 52, NO. 3, JUNE 2003 839 Comparison of IC Conducted Emission Measurement Methods Franco Fiori, Member, IEEE, and Francesco Musolino, Member, IEEE

More information

A Measurement of Non-Coaxial RF Devices with Improved TRL Calibration Algorithm

A Measurement of Non-Coaxial RF Devices with Improved TRL Calibration Algorithm A Measurement of Non-Coaxial RF Devices with Improved TRL Calibration Algorithm Chen Shouhong 1, Wang Zhuang 1, Ma Jun 1,*,and Hou Xingna 2 1 School of Electronic Engineering&Automation, Guangxi Key Laboratory

More information

3680 Series. Universal Test Fixtures. A Complete Measurement Solution. DC to 60 GHz DC to 20 GHz 3680K DC to 40 GHz 3680V DC to 60 GHz

3680 Series. Universal Test Fixtures. A Complete Measurement Solution. DC to 60 GHz DC to 20 GHz 3680K DC to 40 GHz 3680V DC to 60 GHz 3680 Series Universal Test Fixtures DC to 60 GHz A Complete Measurement Solution 3680-20 DC to 20 GHz 3680K DC to 40 GHz 3680V DC to 60 GHz Solid ground contacts top and bottom allow microstrip or coplanar

More information

Microwave Characterization and Modeling of Multilayered Cofired Ceramic Waveguides

Microwave Characterization and Modeling of Multilayered Cofired Ceramic Waveguides Microwave Characterization and Modeling of Multilayered Cofired Ceramic Waveguides Microwave Characterization and Modeling of Multilayered Cofired Ceramic Waveguides Daniel Stevens and John Gipprich Northrop

More information

Extraction of Frequency Dependent Transmission Line Parameters Using TDIUTDT Measurements

Extraction of Frequency Dependent Transmission Line Parameters Using TDIUTDT Measurements IEEE Instrumentation and Measurement Technology Conference Budapest, Hungary, May 21-23,2001. Extraction of Frequency Dependent Transmission Line Parameters Using TDIUTDT Measurements Madhavan Swaminathan',

More information

A Comparative Study of Resonator Based Method To Estimate Permittivity

A Comparative Study of Resonator Based Method To Estimate Permittivity A Comparative Study of Resonator Based Method To Estimate Permittivity Chanchal Yadav Department of Physics & Electronics Rajdhani College, University of Delhi Delhi, India Abstract In resonator based

More information

Grundlagen der Impedanzmessung

Grundlagen der Impedanzmessung Grundlagen der Impedanzmessung presented by Michael Benzinger Application Engineer - RF & MW Agenda Impedance Measurement Basics Impedance Basics Impedance Dependency Factors Impedance Measurement Methods

More information

Millimeter Signal Measurements: Techniques, Solutions and Best Practices

Millimeter Signal Measurements: Techniques, Solutions and Best Practices New Network Analyzer platform Millimeter Signal Measurements: Techniques, Solutions and Best Practices Phase Noise measurements update 1 N522XA PNA Series Network Analyzer Introducing Highest Performance

More information