REAL-TIME IMPLEMENTATION AND ANALYSIS OF CHIP SHAPE-BASED SOFTWARE DEFINED RECEIVER

Size: px
Start display at page:

Download "REAL-TIME IMPLEMENTATION AND ANALYSIS OF CHIP SHAPE-BASED SOFTWARE DEFINED RECEIVER"

Transcription

1 REAL-TIME IMPLEMENTATION AND ANALYSIS OF CHIP SHAPE-BASED SOFTWARE DEFINED RECEIVER Thesis Submitted to The School of Engineering of the UNIVERSITY OF DAYTON In Partial Fulfillment of the Requirements for The Degree of Master of Science in Electrical Engineering By Rachel E. Reed UNIVERSITY OF DAYTON Dayton, Ohio May, 2017

2 REAL-TIME IMPLEMENTATION AND ANALYSIS OF CHIP SHAPE-BASED SOFTWARE DEFINED RECEIVER Name: Reed, Rachel E. APPROVED BY: Eric Balster, Ph.D. Advisor, Committee Chairman Professor, Computer and Electrical Engineering John Macdonald, Ph.D. Committee Member Research Engineer, Uniter State Air Force Research Laboratory Frank Scarpino, Ph.D. Committee Member Professor Emeritus, Computer and Electrical Engineering Robert J. Wilkens, Ph.D., P.E. Associate Dean for Research & Innovation, Professor School of Engineering Eddy M. Rojas, Ph.D., M.A., P. E. Dean, School of Engineering ii

3 c Copyright by Rachel E. Reed All rights reserved 2017

4 ABSTRACT REAL-TIME IMPLEMENTATION AND ANALYSIS OF CHIP SHAPE-BASED SOFTWARE DEFINED RECEIVER Name: Reed, Rachel E. University of Dayton Advisor: Dr. Eric Balster In recent years, ground communications with global positioning system (GPS) satellites has moved from the use of hardware-based receivers to that of the software defined radio (SDR). The use of the SDR has enabled faster and more accurate tracking and communication with the GPS system with minimal increase in hardware requirements. The SDR receiver has become the standard, with little variation in recent years. With the introduction of the concept of narrow correlation [1], a more complete picture of satellite health and signal status can be obtained from the receiver. Using this concept, the ChameleonChips library [2] for Matlab, released in 2012, enables a simulation of a modified narrow correlator to be used in Matlab. The ChameleonChips receiver is then implemented in C++, and it s applications are explored with the intent of moving to a real-time receiver in the future. Both the Matlab and C++ receivers are tested using the same real-world data. The C++ receiver is shown to run acquisition processing 14.2 times faster than the Matlab receiver. Tracking processing in C++ is run 6.01 times faster than Matlab. iii

5 To my wonderful husband Zac who put up with me throughout the entire process iv

6 ACKNOWLEDGMENTS I would like to thank everyone that supported me throughout the entire process. I could never have done it without the help and support of so many wonderful people. Dr. Eric Balster: For being there to help me throughout both my undergraduate and graduate career at UD, and pushing me to be the Engineer he knew I could be. Dr. John Macdonald: For teaching me so much and laying the foundation for my future career. Kerri Hill, Dr. Frank Scarpino, Bill Turri, and the Air Force Research Laboratory and Wright-Patterson Air Force Base: For giving me the opportunity to be my best. All of the friends and Family that supported me throughout v

7 TABLE OF CONTENTS ABSTRACT iii DEDICATION iv ACKNOWLEDGMENTS LIST OF FIGURES v viii LIST OF TABLES xi I. INTRODUCTION GPS Constellation History and Segment Overview Space Segment Control Segment User Segment Signal Structure and Construction Carrier Frequency Acquisition Codes Data Generic Receiver Design Correlation Acquisition Tracking and Locked Loops Navigation and Pseudorange II. CHIP SHAPE CORRELATION AND RECEIVER Chip Shape Correlation The Chip Shape Correlation Engine Chip Shape-Based Receiver Acquisition vi

8 III. CODE IMPLEMENTATION Chip Shape Receiver Implementation Acquisition Tracking Implementation Differences IV. TIMING AND ANALYSIS Collection System and Equipment Details Results and Receiver Comparison Receiver Outputs Timing Analysis and Comparison V. APPLICATIONS AND FUTURE WORKS Real-Time Applications Future Works BIBLIOGRAPHY vii

9 LIST OF FIGURES 1.1 Current GPS Satellite Orbital Configuration GPS Satellite Launch Dates and Specifications GPS Monitoring Station Locations Diagram of Signal Construction Example C/A Codes C/A and P Code Bandwidth and Frequency Linear-Feedback Shift Register Example C/A Code and Carrier Modulation Example Example of Fully and Poorly Correlated PRN Codes Block Diagram of a Generic Receiver Standard Correlation Process Receiver Tracking Loop Structure Geometric Representation of Satellite and Receiver Relationship Standard Correlation Result Narrow and Standard Correlation Local Replica Example Narrow Correlation Result viii

10 2.4 Vision and Standard Correlator Local Replica Example Vision Correlation Result Chip Shape SDR Block Diagram Chip Shape Correlation Bin Summing Process Correlation Summing Process Block Diagram of Chip Shape Acquisition Process Block Diagram of Chip Shape Tracking Process Matlab Acquisition Initialization Process Chip Shape Acquisition Process Matlab Tracking Process TRIGR Produced Data Specification File Acquisition and Threshold Results with 2 Correlation Points per Doppler Acquisition and Threshold Results with 4 Correlation Points per Doppler Acquisition and Threshold Results with 16 Correlation Points per Doppler Acquisition and Threshold Results with 512 Correlation Points per Doppler First Stage Accumulation Results First Stage Accumulation Result Details Software Accumulation Results First Stage Accumulation Result Details Frequency Lock Loop Results Code Lock Loop Results ix

11 4.12 Phase Lock Loop Results Phase Lock Indicator Results Code Minus Carrier Results x

12 LIST OF TABLES 1.1 Satellite Data Signal Content Acquisition Processing Timing Tracking Processing Timing xi

13 CHAPTER I INTRODUCTION 1.1 GPS Constellation History and Segment Overview In 1960, the US Government began planning a three-dimensional navigation system that was independent of weather, provided global coverage, produced a high level of accuracy, and had the ability to serve high-dynamic platforms [3]. The first satellite in what would become the GPS constellation was launched in The 24 satellite system became fully operational in 1993, and is still operational today after undergoing several expansion and maintenance programs [4]. In addition to providing valuable navigation information, the system has since become a platform for breakthroughs in communications and receiver technology research. The entirety of the GPS system is broken in to three main sections: the space, control, and user segments. Each section is instrumental to the operation of the entire system, and plays an important role in constellation maintenance, satellite monitoring, and research and development Space Segment The space segment of the Global Positioning System consists of 31 total satellites, with a minimum of 24 being operational at any given time. Due to an update in June 2011, it is now possible to consistently keep 27 of these satellites operational [5]. The current constellation operates in 1

14 medium earth orbit (MEO) at approximately 20,200 kilometers (12,500 miles) above sea level. Within MEO, each satellite makes a single rotation around the Earth in approximately 12 hours and is located within one of six orbital planes. Figure 1.1 shows the current configuration of the satellites in orbit around Earth. Figure 1.1: Current GPS Satellite Orbital Configuration As shown in Figure 1.1, 27 satellites orbit within 6 separate orbital planes around the Earth. The 27 satellites are part of the June 2011 update to the constellation. A minimum of four satellites are required for navigation, however, as shown in Figure 1.1, more are visible at any given time In addition to the June 2011 expansion, many other updates have been conducted in order to improve the scope and functionality of the system. The first satellites in the constellation were launched in 1990 after years of testing on the ground. The entire constellation became operational 2

15 in 1993, with subsequent maintenance and expansion missions being launched over the next 13 years. [4]. Future updates to the system are expected to be ready for launch in Figure 1.2 shows the current array of satellites in orbit, as well as each block that the satellites were launched in. Figure 1.2: GPS Satellite Launch Dates and Specifications 3

16 Figure 1.2 shows the multiple updates to the GPS constellation. Of the original satellites launched, Block IIA, none are still in operation. Blocks IIR, IIR(M), and IIF contain a total of 31 operational satellites. Each update expanded the capabilities of the constellation, with updates including the introduction of military code, signal redundancy, and new civilian signal frequencies. Figure 1.2 also shows details on the next projected update to the constellation. This update will include further redundancy for civilian signals and enhanced reliability and accuracy Control Segment Despite the GPS constellation being deployed by the United States, there are satellite monitoring stations located all around the world. Figure 1.3 shows the locations of all of the current monitoring stations. 4

17 Figure 1.3: GPS Monitoring Station Locations Each of the monitoring stations shown in Figure 1.3 are able to receive satellite signals and take measurements on satellite health and signal status. The master control station is the only station that is able to transmit commands to the satellites. The master station is located at Schriever Air Force Base, Colorado, with a backup station located at Vandenberg Air Force Base, California User Segment The GPS system is used not only for navigation, but also for timing and synchronization. Everyday devices such as cell phones, personal computers, and watches rely on GPS for either timing or navigation. As a result, the optimization of GPS receivers has applications not only in the defense and military industry, but also for everyday civilians [5]. 5

18 1.2 Signal Structure and Construction Each satellite in the GPS constellation transmits a signal consisting of three components; a carrier wave, a ranging code, called a pseudo-random noise (PRN) code, and a data signal. The carrier signal consists of both an in-phase and quadrature component, offset 90 o from each other. Each signal component contains its own ranging code. The in-phase signal is modulated with a course acquisition code (C/A Code) and data using binary phase-shift keying (BPSK) modulation. The quadrature signal is modulated with a precision acquisition code (P-Code) and data. A visual representation of how the satellite combines these signals is found in Figure 1.4. Figure 1.4: Diagram of Signal Construction 6

19 In Figure 1.4, both the In-Phase and Quadrature components of the L1 band are shown. For the In-Phase signal, the modulo-2 result of the C/A code and data message is taken. This result is then mixed with the In-Phase carrier signal. The Quadrature signal is comprised of the modulo-2 addition of the P-code and data mixed with the Quadrature carrier. A summation of both signals is transmitted by the satellite, with the In-Phase signal being used primarily for civilian applications and the Quadrature signal for military applications. The resulting In-Phase L1 signal, S L1SatI (t), is modeled as, S L1SatI (t) = D(t)C(t) cos(2πf L1 t) (1.1) where f L1 is the L1 frequency used to produce the carrier signal. The modulo-2 result of the data and ranging code is shown by D(t)C(t). This is because the modulo-2 sum of the code and data is equivalent to the multiplication of the two signals. The Quadrature signal, S L1SatQ, is modeled as, S L1SatQ (t) = D(t)P (t) sin(2πf L1 t) (1.2) where P (t) is the precision code. Because of the primarily military applications of the Quadrature signal, it is not used in this project Carrier Frequency Satellites in the GPS constellation transmit their data using a series of RF sinusoidal signals across a range of frequencies [6]. The most widely used set of frequencies transmitted by the satellites are the L-band frequencies. L-band ranges from 1 to 2 GHz and contains both civilian and Department of Defense signals. The two most widely used L-band frequencies are the Link 1 (L1) and Link 2 (L2) bands, which transmit at MHz and MHz respectively. Other 7

20 frequencies included in the L-band are L3 and L4, which are associated with classified data and only used for military and Department of Defense applications [6] Acquisition Codes Because each satellite transmits its data messages on the same frequency bands, an additional code is necessary in order to identify which satellite an incoming signal originates from. Each satellite is assigned a unique PRN code that is orthogonal to that of the other satellites in the constellation. An example of several C/A codes are found in Figure 1.5. Figure 1.5: Example C/A Codes Figure 1.5 shows the ranging codes for PRN 1, 8 and 17. The codes alternate between positive and negative one and are each transmitted by a different satellite. The ranging code is referred to as a series of chips. Each chip represents on bit of data, although multiple samples may be found in a single chip. Figure 1.5 shows fifty chips of each PRN. 8

21 For each carrier frequency transmitted, there are two ranging codes. The C/A Code is transmitted on the in-phase signal component at a chipping rate of Mcps, repeating every 1 ms. The C/A code is used to acquire a rough estimate of the satellite s Doppler and code phase offset, as well as the distance from the satellite to the receiver. Unlike the C/A code, the P-code is transmitted on the quadrature component of the carrier signal. Each satellite transmits a 1 week portion of a 32 week code. This ensures that no two satellites transmit the same P-code. Each code repeats every week, beginning and ending on Saturday at midnight. P-code provides a much more accurate representation of Doppler and satellite distance than the C/A code. This is largely due to the fact that the P-code s chipping rate is ten times greater than the C/A code, transmitting at Mcps [6]. The difference in transmission rate between the C/A and P-codes is apparent when looking at the Power Spectral Density (PSD) of both codes. Figure 1.6 shows the PSD of each code, centered at the L1 frequency. 9

22 Figure 1.6: C/A and P Code Bandwidth and Frequency Because each ranging code consists of a series of square waves, the PSD of each code shown in Figure 1.6 is a sinc 2 function. The zero crossings of the C/A code PSD occur MHz offset from the center peak, corresponding to its transmission rate of Mcps. The P-code PSD, shown to be 90 o offset from the C/A code, has zero crossings MHz offset from its center peak. This corresponds to its transmission rate of Mcps. Each PRN code, both C/A and P-code, is generated using a linear-feedback shift register (LFSR). By combining two bit streams with an exclusive-or, all of the C/A and P-codes used by the GPS constellation can be created. An example of the logic that generates these codes can be found in Figure

23 Figure 1.7: Linear-Feedback Shift Register Example Figure 1.7 shows an example of a maximimal-length 4-bit linear-feedback shift register circuit. The first and second bit are fed into an exclusive-or, with the result being pushed into the highest order bit location. Because the LFSR is maximal length, all possible bit combinations are produced, with the exception of the zero case. Because of the use of LFSRs in their generation, the ranging codes appear to be random, but are periodic. GPS receivers use this periodicity to their advantage, processing the incoming data for every one millisecond repetition of the C/A code. Due to the unwieldy length of the P-code, C/A code is the favored ranging code for initial signal acquisition. In order to acquire a signal from a specific satellite, a local replica of the C/A code is correlated with the incoming data. Mixing the C/A code with the carrier signal produces 180 o phase shifts within the carrier. An example of this is shown in Figure

24 Figure 1.8: C/A Code and Carrier Modulation Example Figure 1.8 shows a simulated carrier signal mixed with a simulated ranging code. This produces obvious 180 o phase shifts in the carrier signal due to the use of BPSK modulation. Both signals range from negative to positive one. In the incoming signal, the C/A ranging code is transmitted at a much lower frequency than the carrier. Because of the orthogonality of the PRN codes, a peak will only be produced when the signal is correlated with an exact local replica of the present PRN. All other codes will produce a correlation output that averages to zero. Figure 1.9 shows an example of the correlation output of like and different C/A codes. 12

25 Figure 1.9: Example of Fully and Poorly Correlated PRN Codes The orthogonality of the ranging codes is clearly shown in Figure 1.9. PRN 8 produces an obvious peak when the simulated received ranging code is correlated with a matching local replica. When correlated with a different PRN, PRN 17, no peak is present. All of the resulting values remain relatively close to zero. This property of the ranging codes allows receivers to accurately identify the satellite a signal is transmitted from with a minimal possibility of error Data In addition to the ranging codes, the signals transmitted by the GPS satellites contain a data message. Each data message is transmitted at 50 bps, with a data bit occuring every 20ms. The data message consists of five 300-bit subframes, and is transmitted in order starting with subframe 1 [3]. Table 1.1 shows the information contained in each subframe of the data message. 13

26 Table 1.1: Satellite Data Signal Content Subframe No. Word No. Description Telemetry and handover words 3-10 Satellite clock, GPS time relationship Telemetry and handover words 3-10 Ephemeris (precise satellite orbit) Telemetry and handover words 3-10 Almanac component (satellite network synopsis, error correction) For each subframe shown in Table 1.1 the content of each word is shown. Each subframe contains bookkeeping information within the first two words. The remaining words contain data detailing important information such as satellite clock time and ephemeris data. This data is used when calculating the location of the receiver. In order to minimize the possibility for error when receiving messages, Hamming encoding is used with 6 parity bits at the end of each navigation message word to aid in error checking [3]. The data obtained from the different subframes allows monitoring stations to constantly keep track of satellite location and health. The master control station in Colorado is able to transmit satellite location updates to the satellites in order to provide accurate information to the rest of the monitoring stations. 1.3 Generic Receiver Design While there have been many modifications made to what is considered the standard SDR receiver, each design can be broken down into a series of core principles that remain unchanged. Figure 1.10 shows a block diagram of these concepts and how they interact. 14

27 Figure 1.10: Block Diagram of a Generic Receiver The receiver shown in Figure 1.10 uses the data obtained from an antenna to make its acquisition and tracking calculations. This incoming signal is defined as, ( ) S IL1 [n] = D[n]C[n + δ τ ] cos 2π(f L1 + δf)n + δθ (1.3) From this point forward, the data used in this is study will be modeled as discrete sampled data, as shown in Equation 1.3. The data collected by the antenna differs from the signal transmitted by the satellite, shown in Equation 1.2, due error introduced during transmission through the atmosphere. These errors take the form of a code phase error, δτ, carrier phase error, δθ,and frequency error, δf. After the initial collection takes place, the collected data is mixed down to an intermediate frequency to be used by the receiver. The signal then becomes, 15

28 ( ) S Iif [n] = D[n]C[n + δτ] cos 2π(f IF + δf)n + δθ (1.4) where f IF is the predetermined intermediate frequency. At this stage, the sources of signal error are still present. The intermediate frequency signal is fed into the correlator, where it is correlated with an inphase and quadrature local replica of the carrier signal and a shifted local replica of the ranging code. The result of this correlation, shown in Figure 1.10, produces three separate values that are calculated using, R E = R EI + jr EQ R P = R P I + jr P Q (1.5) R L = R LI + jr LQ where R EI, R P I, and R QI are the correlation result with the in-phase local carrier replica, L I, and R EQ, R P Q, and R LQ are the correlation result with the quadrature local carrier replica, L Q. R EI, R P I, and R QI are defined as, R EI = n S Iif [n]l I [n k m 2 ] R PI = n S Iif [n]l I [n k] (1.6) R LI = n S Iif [n]l I [n k + m 2 ] where m is the number of samples present in a chip of the ranging code. This signified a one half chip offset in the early and late local replicas. R EQ, R P Q, and R LQ are defined as, 16

29 R EQ = n R PQ = n R LQ = n S Iif [n]l Q [n k m 2 ] S Iif [n]l Q [n k] S Iif [n]l Q [n k + m 2 ] (1.7) Equation 1.7 shows an example of the correlation function with the quadrature frequency signal. The local replicas, L I and L Q, are modified by the receiver and are defined as, ) L I [n] = C[n + ɛ τ ] cos (2π(f IF ɛ f )n + ɛ θ ) (1.8) L Q [n] = C[n + ɛ τ ] sin (2π(f IF ɛ f )n + ɛ θ In the acquisition stage of Figure 1.10, the local replica is modified to account for the code phase and Doppler offset of the incoming signal, as shown by ɛ τ and ɛ f in Equation 1.8. The tracking process further modifies the local replica to account for the phase error present in the carrier signal, ɛ θ. The receiver shown in Figure 1.10 begins in the acquisition state and moves to tracking once acquisition has completed. From there, the receiver loops until all of the received data is processed. The resulting navigation data is output as D[n]. While there are many different ways to perform these core functions, a GPS receiver must contain correlation, acquisition, and tracking in order to produce the desired navigation message. This section outlines some of the most commonly used methods in modern GPS SDRs Correlation The backbone of any GPS receiver is the correlator engine. The correlator runs continuously throughout the acquisition and tracking process, providing a dynamic measure of how well the local carrier and code replicas correspond to the incoming data. When a known ranging code is present 17

30 in the incoming data, correlation will be performed with a local replica of the C/A code and carrier using three separate correlation calculations. Assuming no Doppler or phase error is present in the incoming data, a standard correlation triangle will be produced. This is performed using the same process described in Equation 1.5. The most widely used correlation algorithm uses three separate local replicas in it s calculations: early, prompt, and late. The prompt correlation result is produced when the local replica has been adjusted to the appropriate code phase offset of the incoming signal, δ τ. The early and late correlation points are generated by shifting the prompt local replica by a half of a chip in either direction. The standard correlation process is found in Figure

31 Figure 1.11: Standard Correlation Process In the correlation diagram in Figure 1.11, the early, prompt, and late correlation points are shown to be produced by multiplying shifted versions of the local replica with the incoming signal and summing the result to produce a single point, using the same process shown in Equations 1.6 and 1.7. Ideally, there will be no Doppler or phase offset between the incoming data and the local replica. In reality, however, this is rarely the case. In acquisition, the correlation triangle will only be present when the local replica has been modified to compensate for the appropriate code phase and Doppler values. In tracking, when the receiver has obtained an approximation of these values, the early and late correlation points will be equal when lock is achieved. While the standard correlation method is widely used in SDR development, it is very susceptible to signal deformities such as multipath. In recent years, modifications to this method have been developed to minimize these interferences. The receiver used in this research is based on one such modification and will be discussed in further detail in chapter II. 19

32 1.3.2 Acquisition After initial processing of the signal is completed, the receiver must calculate the timing and phase offsets of each data signal present. In order to this, the receiver needs to know which ranging codes are present in the received data. If the receiver has no previous knowledge of the existing PRN codes, it must check for each until one is found. This is called a cold start. More likely, the receiver will have at least some idea of the codes present. If this is the case, the receiver will warm start, and will have some prior knowledge of the PRN codes to search for [6]. The purpose of acquisition is to remove any Doppler and code phase shift that may be present in the incoming data. It does this by comparing a local replica of the ranging code with the received signal. For the L1 band, the incoming signal will have both a Doppler shift, δf, and a code phase shift, δτ, as shown in Equation 1.3. In order to determine δf and δτ, the Fast Fourier Transform (FFT) of the incoming signal is taken and multiplied by the conjugate of the FFT of the ranging code. This is equivalent to correlating the signal across a range of different phase and Doppler shifts at once. The intermediate frequency signal found in Equation 1.4 is used to find a rough estimate of the Doppler and code phase offset of the incoming signal. If the resulting peak is above the predetermined threshold, then a tracking channel is allocated and the adjusted local replica found in Equation 1.8 is produced. If the result does not contain a peak that exceeds the threshold, then a new PRN is set and the process repeats. When the local code replica is properly adjusted for any Doppler or code phase shift errors that may be present, the ranging code can be stripped from the incoming signal. Because the ranging code alternates between positive and negative one, this multiplication results in the removal of the 20

33 ranging code from the received signal. When the ranging codes are aligned, the result of a bit-by-bit multiplication is simply a series of positive ones. This removal is shown as ( ) ( ) S DC [n] = S ifi [n]c[n ɛ τ ] = D[n] C[n ɛ τ ]C[n δτ] cos 2π(f IF + δf)n + δθ (1.9) When the ranging code offset of the incoming signal, δτ, and the local replica, ɛ τ, are aligned, the multiplication result becomes a series of ones. The resulting data and code signal, S DC, then becomes, ( ) S DC [n] = D[n]cos 2π(f IF + δf)n + δθ (1.10) Tracking and Locked Loops Once acquisition is achieved, the receiver must actively adjust it s local carrier and code replicas in order to effectively track the signal and recover the data. After the ranging code is removed, The signal is processed using a series of locked loops and feedback integration loops. The tracking loop structure of the receiver is found in Figure

34 Figure 1.12: Receiver Tracking Loop Structure After acquisition, the intermediate frequency signal found in Equation 1.4 is fed into the tracking structure of Figure A one millisecond block of data is output from the correlation engine. The block of data is then run through the bit synchronization process. Frequency, phase, and code lock loops are used to further adjust the local replica for tracking. Once the appropriate phase, frequency, and code phase offsets have been applied to the local replica, an integrate and dump filter is used to obtain the data. The updated local replica is fed back into the correlation engine. In order to obtain the data after the code and carrier are wiped off, an accumulate and dump filter is used. Because the data can be either a positive and negative one, accumulation across a data bit transition can potentially accumulate to zero. In order to avoid this, bit synchronization is used to modify the integrate and dump filter parameters. As a result, accumulation will begin when the data bit transitions. The synchronization process uses the Frequency Discriminator output in order to detect 180 o phase shifts that result from each positive to negative, or negative to positive, 22

35 data bit transition. Data bit transitions occur every 20ms, but may or may not change sign. When a predetermined number of transitions have occurred, the integrate and dump filter accumulation is reset, signifying the start of a data bit transition. The first lock loop used in processing is the Delay Lock Loop (DLL). The purpose of the DLL is to estimate the code phase delay, and adjust the local replica accordingly. The code discriminator used in the lock loop is sensitive to errors in code phase, but independent of carrier phase and frequency errors [6]. This is because it is calculated using a comparison of the early and late tracking points on the correlation triangle. When these values are equal, the prompt tracking point is located at the top of the correlation triangle, and the local and incoming codes are aligned. A common discriminator used in the DLL is D = R e 2 R l 2 (1.11) where the discriminator output D is defined in terms of the early and late correlation points, R e and R l, found in Figure The next loop used in the tracking process is the Frequency Lock Loop (FLL). The FLL is used initially when the signal-to-noise ratio is too weak for the Phase Lock Loop (PLL) to operate. An SDR will commonly begin with a disabled PLL, and enabled FLL. This initial pull-in phase ensures that the signal-to-noise ratio is high enough for the PLL to operate once it is enabled. The FLL approximates the frequency by comparing the phase between the current sample of the correlation output and the previous sample. this also allows the discriminator to detect 180 o shifts in phase due to data bit transitions. The receiver is said to be frequency locked when this discriminator output remains constant, though not necessarily zero. A common equation for the discriminator is, 23

36 F = arctan(θ 2 θ 1 ) t 2 t 1 (1.12) where F is the frequency discriminator output, θ 1 and θ 2 are the current and previous phase of the current block of data, and t 1 and t 2 are the current and previous sample time. After the initial pull-in phase, the PLL is used to correct any lingering phase errors in the local carrier replica. This is done by comparing the phase of the in-phase and quadrature prompt correlation outputs. When the difference in angle is exactly 90 o, the phase discriminator output goes to zero. When this occurs, the local replica carrier is aligned with the incoming signal and can be fully removed. This is commonly calculated using, P = arctan( R P Q R PI ) (1.13) where P is the phase discriminator output, R PI is the in-phase component of the prompt correlator output, and R PQ is the quadrature component of the prompt correlator output. 1.4 Navigation and Pseudorange The most widely utilized application of GPS is navigation. In order to perform the calculations necessary to determine location, the distance from the receiver to at least four separate satellites must be determined. In order to calculate the distance between the receiver and the satellite, the geometric relationship between the receiver, satellite, and the Earth, found in Figure 1.13, must be taken into consideration. 24

37 Figure 1.13: Geometric Representation of Satellite and Receiver Relationship Figure 1.13 shows the distance between the satellite and the center of the Earth, s, is equal to the sum of the distance from the center of the Earth to the receiver, u, and the distance from the receiver to the satellite, r. This can be expressed as, s = r + u (1.14) By reordering 1.14 we can show that the geometric distance between the satellite and the receiver can be represented as, 25

38 r = s u (1.15) The geometric distance r can also be expressed in terms of the signal propagation time. The distance is equal to the difference between the signal transmission time, t tx, and the signal received time, t rx. This time difference is then multiplied by the signal propagation speed, c. This is expressed as, [6], r = s u = c(t rx t tx ) (1.16) Both the satellite and receiver have clocks that are used to determine t tx and t rx. Because two different clocks are used to determine transmission and received time, there exists an unknown error in the timing measurement. Because of this error, the actual distance between the satellite and the receiver, called the pseudorange(ρ) is calculated as, [6], ρ = r + c(t τ) = c(t rx t tx ) + cɛ (1.17) where the unknown clock error, t τ, is denoted ɛ. If we calculate the distance between the receiver and four separate satellites, the receiver location can be determined. By applying the distance formula, the pseudorange between the receiver and each satellite can be expressed as, [3] ρ 1 = (x 1 x u ) 2 + (y 1 y u ) 2 + (z 1 z u ) 2 + cτ ρ 2 = (x 2 x u ) 2 + (y 2 y u ) 2 + (z 2 z u ) 2 + cτ ρ 3 = (x 3 x u ) 2 + (y 3 y u ) 2 + (z 3 z u ) 2 + cτ (1.18) ρ 4 = (x 4 x u ) 2 + (y 4 y u ) 2 + (z 4 z u ) 2 + cτ 26

39 where x u, y u, and z u denote the receivers location in relation to the center of the Earth and x 1 4, y 1 4, and z 1 4 represents the location of each of the four satellites. Because ɛ, x u, y u, and z u are unknown, four satellites are needed to accurately determine the receiver s location. 27

40 CHAPTER II CHIP SHAPE CORRELATION AND RECEIVER 2.1 Chip Shape Correlation The mitigation of signal errors such as multipath and ionospheric monitoring have been points of interest for research in recent years. Initially, additional processing was built into the receiver itself in an attempt to correct for these, however the standard correlation result, shown in Figure 2.1, does not lend itself to the identification of these errors. Figure 2.1: Standard Correlation Result 28

41 The correlation triangle found in Figure 2.1 is produced when an exact replica of the incoming PRN is used in the correlation engine. The addition of multipath does little to change the shape of the peak, making identification of anomalies difficult [1]. It was eventually found that, by modifying the replica used in correlation, these errors become more apparent, and easier to identify. In order to minimize the effects of multipath and other signal anomalies, a method known as Narrow Correlation is often implemented within the SDR. When using this method, the local replica of the C/A code is modified. Rather than being an exact replica of the satellite s ranging code, only a percentage of each chip is preserved. The remaining sections of the local C/A replica are replaced with zeros [7]. An example of the narrow code replica as compared to the standard local replica can be found in Figure 2.2. Figure 2.2: Narrow and Standard Correlation Local Replica Example Figure 2.2 clearly illustrates the differences between the standard local replica, shown first, and the narrow correlation replica. As shown, the portion of the narrow correlation replica not 29

42 immediately surrounding each chip transition is set to zero. All other aspects of the local replica, such as amplitude and phase offsets, remain unchanged. When this modified C/A code replica is used in the correlation process, the peak of the resulting correlation triangle will begin to flatten out. This extension allows for easier detection of multipath [1]. Figure 2.3 shows the narrow correlation triangle result. Figure 2.3: Narrow Correlation Result When compared to the standard correlation result found in Figure 2.1, the peak found in Figure 2.3 is shown to be more reminiscent of a square pulse than a triangular peak. The amplitude of the narrow correlation result is also shown to be smaller than that of the standard correlation result. Further reducing the preserved chip percentage of the Narrow Correlator results in a local replica containing only Kronecker Deltas at each chip transition. Mathematically, the Kronecker Delta local replica is the result of taking the derivative of the standard local C/A code replica. This extreme version of the Narrow Correlator was first implemented by NovAtel in a system known as the Vision Correlator [1] and is shown in Figure

43 Figure 2.4: Vision and Standard Correlator Local Replica Example As shown in Figure 2.4, the Vision Correlation replica replaces the standard PRN structure with Kronecker deltas at each chip transition. Replacing the local code replica in Equation 1.5 with the Vision Correlation replica produces a result that no longer resembles the standard correlation triangle. Instead, the result becomes an averaged representation of each PRN chip transition. An example of this result is found in Figure

44 Figure 2.5: Vision Correlation Result The correlation output in Figure 2.5 shows a single chip of the ranging code. This chip is the averaged representation of all ranging code chips within a single millisecond block of data. In the presence of multipath, the correlation output will show a second peak offset from the initial result, making the detection of such anomalies significantly easier [1]. In 2012, the vision correlator was modified to incorporate a concept called masking [8]. A mask modifies the vision correlator further, isolating a specific series of chip transitions. This allows the user to produce chip shape results for specific sets of transitions. For example, if the local correlation replica contains Kronecker Deltas only at the positive to negative chip transitions, then the correlation output will be an averaged representation of the positive to negative chip transitions of the incoming data [9]. 32

45 2.1.1 The Chip Shape Correlation Engine The correlator simulation engine used in this study implements a version of the masked chip shape output. When no masking is applied to the local replica, the vision correlator replica found in Figure 2.4 is used. When a more detailed output is required, the local replica is modified using masks. For this project, the unmasked, or vision correlation, replica is used. The correlation engine used in the chip shape-based receiver differs from the standard correlation engine found in Figure The chip shape correlation engine is diagrammed in Figure 2.6. Figure 2.6: Chip Shape SDR Block Diagram Within the correlation engine found in Figure 2.6, the local code and carrier replicas are wiped off of the incoming signal. The correlator output is produced by shifting the local replica by a specified number of code phase, τ, corresponding to a half-chip on either side of the expected code phase offset. The number of data points contained in a chip is denoted by m. These values 33

46 are placed in a bin corresponding to the previously determined number of bins per chip. A visual representation of this process is found in Figure 2.7. Figure 2.7: Chip Shape Correlation Bin Summing Process For each shift of the local replica shown in Figure 2.7, the result is placed in one of the corresponding early, prompt, or late bins. If there are more local replica shifts than designated bins, each bin will contain an accumulation of correlation outputs. In the case of Figure 2.7, each data bin contains an accumulation of three correlation outputs. Because code and carrier wipe off occur within the correlator, the prompt correlation output will be a representation of the navigation message of the incoming signal when phase and code lock are achieved. 34

47 2.2 Chip Shape-Based Receiver In order to implement a functional, real-time SDR based on the concept of chip shape correlation, an initial simulation of the correlator is implemented using the Matlab design environment. Because of the noted differences in the output of the standard correlator and the modified vision correlator used in chip shape correlation, an updated receiver design is needed. The desired goal of the project is to produce a real-time receiver that dynamically allocates resources. The chip shape correlation simulator used in the SDR implementation allows for the activation and deactivation of acquisition and tracking channels. In order for each channel in the receiver to be used as both acquisition and tracking channels, additional processing must first be done on the correlation output. The output of the chip shape correlation function is an averaged representation of the chip transitions of the transmitted data code. In order to perform acquisition and tracking, the traditional correlation triangle must be recovered. Mathematically, this is done by taking a cumulative sum of the correlation output over three separate intervals C e = C p = k 3 B[n] n=0 2k 3 B[n] (2.1) C l = n= k 3 k B[n] n= 2k 3 where the array of bins, of size k, output by the correlation engine, B[n], is summed across three separate intervals. The number of bins that are summed for each correlation point is variable and set by the user. In the most basic case, shown in Equation 2.1, the correlation output is divided 35

48 into three separate intervals and produce the early, prompt, and late correlation points, C e, C p, and C l. A visual representation of this process is found in Figure 2.8 Figure 2.8: Correlation Summing Process Once the early, prompt, and late correlator points are recovered in Figure 2.8, the receiver operates largely in the same way as the standard receiver with the exception of a slightly modified method of acquisition. This method allows for significantly more information to be gained from the receiver with a minimal increase in processing requirements. When starting with the standard 36

49 method of correlation, information regarding signal chip shape is significantly more difficult to produce. By providing the chip shape information initially, signal abnormalities such as multipath are more easily identified Acquisition One of the most distinct differences between the chip shape-based receiver and the standard model is the method of acquisition. Rather than using the FFT to check multiple Doppler and code phase values at once, each possible Doppler and code phase are checked individually. This allows for a significant amount of control regarding the precision of the search. The code phase offset values within each Doppler are selected based on the amount of chips that are being summed to produce the correlation peak, as shown in Figure 2.8. The values of chips early and chips late determine the number of values summed. A larger number of chips early and late results in fewer code phase values being tested for each Doppler. This is useful in systems with less processing power available. When more processing power is available, or signal anomalies are detected, a smaller range of chips is used and more code phase values are searched. A block diagram showing the acquisition process is found in Figure

50 Figure 2.9: Block Diagram of Chip Shape Acquisition Process The acquisition process found in Figure 2.9 uses the correlation output produced in Equation 2.1. A threshold is set based on the noise of the incoming signal. The correlation peak is then compared to the threshold. If the correlation output peak exceeds the desired threshold, the same doppler and code phase offset is evaluated for a different one millisecond block of data. This is done to ensure that a false peak was not produced. If the peak is confirmed, the updated local replica is passed off to tracking. If the correlation peak does not exceed the threshold, the code phase and Doppler of the local replica is updated and correlation is run again. If no peak is found within the possible code phase and Doppler offsets, it is assumed that the search PRN is not present in the incoming data. A new search PRN is set, and acquisition is run again. While this is a slower and more computationally heavy process, it does provide some benefit to the overall receiver design. The chip shape-based receiver is meant to provide a system-independent, dynamic receiver, with the correlation processing operating on a Field Programmable Gate Array (FPGA). By eliminating the need for the FFT in the acquisition process, no additional hardware needs to be implemented on the FPGA. The lack of necessary extra hardware also allows for a 38

51 channel to perform both acquisition and tracking. The ability to disable and re-purpose channels is used when dynamically monitoring and controlling power consumption of the receiver. In cases where signal anomalies are detected, it is thus possible to deactivate non-critical processes and run a more detailed analysis of the suspected error. Chip shape-based tracking operates in much the same way as the generic tracking process found in Figure There are, however, minor differences regarding the accumulation of the navigation data. The chip shape-based tracking process is found in Figure

52 Figure 2.10: Block Diagram of Chip Shape Tracking Process The early, prompt, and late correlation output in Figure 2.10 is accumulated in two separate stages. The first stage accumulation, which occurs for every one millisecond block of data, represents the navigation data as it is run through the correlation engine. The second stage accumulation occurs after a specified number of millisecond blocks of data, not exceeding the twenty blocks that represent one navigation data bit. This two-stage accumulation replaces the integrate and dump filter used in the generic receiver tracking method. The remaining receiver tracking processes are identical to those found in Figure

53 CHAPTER III CODE IMPLEMENTATION 3.1 Chip Shape Receiver Implementation The initial simulation of the chip shape-based receiver is programmed using the Matlab development suite. Matlab s ability to process large amounts of data make it an ideal platform for receiver simulation. For the initial prototype, the structure of the chip shape receiver found in Figure 2.6 is followed as closely as possible. Once complete, the Matlab receiver is then implemented using C++. The same receiver structure is used, and the code is followed as closely as possible. In order to simulate the correlation engine in the Matlab receiver implementation, the ChameleonChips library plug-in is used [2]. The same ChameleonChips code is then converted into a DLL that is used in the C++ implementation. In the future, the correlation simulator will be replaced with an FPGA implementation of the engine Acquisition In the chip shape receiver, a single channel is dedicated solely to acquisition. When the channel is initialized, a series of searchable PRN codes are set. A preliminary code is also set that will be used for dynamic threshold management. This preliminary PRN is a code that is guaranteed to not be present in the data set being processed. In addition to an initial threshold value, other 41

54 important values are set during initialization such as a range of Doppler values to search across, and the preliminary Doppler estimate. Figure 3.1 shows a diagram of the initialization process. Figure 3.1: Matlab Acquisition Initialization Process In order to initially set a threshold value found in Figure 3.1, correlation is done with a PRN code that is not present in the processed data. The correlator result is accumulated over a set number of iterations. The results are a representation of the system s average noise. From this noise, a dynamic threshold value is calculated using a scaled measure of the average power of the signal. This threshold is calculated as, 42

55 T = 1.53 σ (3.1).43 where the threshold value, T, is simply a scaled version of the signal power, σ. After the initial threshold and Doppler estimates are set in Figure 3.1, with the later being set to zero in order to simulate a cold start, the local PRN replica is changed from a non-existent code to one expected to be present in the data set. At this point, the bulk of acquisition processing is performed. The acquisition algorithm implemented in both versions of the chip shape-based receiver is discussed in detail in II as is shown in Figure 2.9. A pseudocode representation of this acquisition process is found in Figure

56 Figure 3.2: Chip Shape Acquisition Process The pseudocode shown in Figure 3.2 follows the diagram found in Figure 2.9. After initialization, the local replica is shifted for each possible code phase and doppler offset. The correlation output is compared to the threshold value, and the local replica is updated accordingly. 44

57 The C++ implementation of the chip shape-based receiver follows much of the same design as the Matlab implementation. Moving from Matlab to C++ offers a marked improvement in processing time. This allows for further functionality to be added in future designs Tracking Chip shape-based tracking is done using the same processes from the generic GPS receiver design discussed in I. Once a specific PRN has been acquired, a tracking channel is initialized with the appropriate code phase and Doppler values. Each millisecond block of data is accumulated over a predetermined number of iterations, the PDI. After the correlator output is accumulated, code, frequency, and phase lock loops are used to update the local replica in order to acquire and maintain lock. The discriminator bandwidth and type are determined by an XML-defined state machine. The state machine also controls the points-per-chip, resolution, and state type. Each state is defined as either a timing, bit synchronization, or threshold state. The timing state operates only for a specific number of 1ms blocks of data, the bit state ensures that the data accumulations are not happening across a data bit transition, and the threshold state will only change state when a specific threshold has been met or exceeded by a discriminator. In the timing state the tracking process operates for a set number of one millisecond blocks. Within the tracking process, the Numerically Controlled Oscillator commands, or NCO Commands, are updated based on the results of the various lock loops. These commands are used to update the local replica, produced by the NCO, and fed back into the correlator. In the bit state of the receiver the local replica is shifted in order to prevent accumulation across data bit transitions. Because the data message is modulated with the PRN and carrier signal, a transition causes a 180 o phase shift in the incoming signal. If this transition occurs in the middle of an accumulation period, then there is a chance that the accumulation will produce a zero. In order 45

58 to correct for this, the frequency discriminator is used to search for sudden changes in phase. When a large phase shift is detected, a counter is updated, and the local replica is adjusted accordingly. Finally, the threshold state provides a threshold requirement for a specific discriminator output to achieve. In the case of the chip shape-based receiver shown, a specific level of phase locking must be achieved in order for the state to change. This ensures that the receiver has fully phase locked before it begins additional processing such as chip shape accumulation and power calculations. A full diagram of the tracking process controlled by the state machine is found in Figure A pseudocode representation of this process is found in Figure

59 Figure 3.3: Matlab Tracking Process As shown in Figure 3.3, every millisecond block of data is accumulated in two separate stages. The lock loop calculations are done using the output of the second stage accumulation. The same processes shown in Figure 2.10 are also shown in the pseudocode Implementation Differences Due to Matlab s poor implementation of multi-threading, a double f or loop is used in order to process multiple channels. Because of this, tracking and acquisition cannot be implemented concurrently. Due to the object oriented nature of the C++ language, it is possible to implement classes for each channel type. The primary benefit of this is the ability to create and remove channels as needed during the simulation. This provides a simulation that is more accurate to the ideal of dynamically allocated channels within an FPGA. This also allows for the implementation of 47

60 multi-threading in the future. As a result of this, the C++ receiver offers both improved processing performance and more control over dynamic resource allocation, thus outperforming the Matlab receiver. 48

61 CHAPTER IV TIMING AND ANALYSIS 4.1 Collection System and Equipment Details When simulating the chip shape-based receiver in both Matlab and C++, data collected from Ohio University s Transform Domain Instrumentation GNSS Receiver (TRIGR) is used [10]. The data collection for this simulation was done in Athens, Ohio on May 23, The data was collected in the L1 band and is limited to the United State s, GPS, and Europe s, Galileo, navigation satellite constellation data. The TRIGR system collected data at a sample rate of MHz and 8 bits per sample. Further data parameters can be found in a data file that the TRIGR system creates for each data collection. The data file used for this simulation is found in Figure

62 Figure 4.1: TRIGR Produced Data Specification File The data file in Figure 4.1 is defined using XML and details signal information such as the intermediate frequency used in front end processing and the navigation satellite constellations collected from. The correlator simulation settings are set directly from the data file. This allows for a wide range of data to be processed by the receiver with few necessary manual changes. Both the Matlab and C++ receiver implementations are run on a computer with a 2.6GHz i7 processor and 16 GB of RAM. The computer is running the Windows 7 operating system. 4.2 Results and Receiver Comparison Each receiver simulation, both in Matlab and in C++, produce several results for comparison. Testing also produces data regarding the timing performance of each iteration of the receiver. In order to show these results, both receivers are tested using the same data set, and are searching for 50

63 the same PRN. In the following case, the data detailed above is run through the simulation and PRN 9 is used in acquisition Receiver Outputs The process of dynamic thresholding and acquisition is detailed in II. This process is implemented in both the Matlab and C++ versions of the chip shape-based receiver. The peak value of each acquisition correlation is compared to the dynamic threshold calculated in Equation 3.1. The results are shown in Figure 4.2, with the Matlab result shown first, and the C++ result below. Figure 4.2: Acquisition and Threshold Results with 2 Correlation Points per Doppler In the acquisition results found in Figure 4.2, two code phase correlations occur for every possible Doppler offset. As a result, very few blocks of data are required to acquire an estimate of code phase and Doppler offsets. The estimate that is produced, however, is significantly less accurate than an estimate produced with more correlation points. Increasing the number of correlations per 51

64 Doppler offset produces more data points with which to calculate the correct offsets, as shown in Figure 4.3 Figure 4.3: Acquisition and Threshold Results with 4 Correlation Points per Doppler Figure 4.3 shows the result of acquisition with four code phase correlation points for each Doppler offset. When compared to the result found in Figure 4.3, it is shown that more blocks of data are required in order to obtain our initial code phase and Doppler offset. Increasing the number of correlation points to sixteen per Doppler offset further increases the amount of data required to acquire successfully. This is shown in Figure

65 Figure 4.4: Acquisition and Threshold Results with 16 Correlation Points per Doppler The result in Figure 4.4 requires even more blocks of data in order to acquire an estimate of the correct code phase and Doppler offsets. The accuracy of the offsets is, however, increased with each additional correlation point per Doppler. In this tracking simulation, the result of acquisition with 512 correlation points per Doppler offset is used. This result is found in Figure

66 Figure 4.5: Acquisition and Threshold Results with 512 Correlation Points per Doppler By dynamically modifying the threshold used in acquisition, the receiver adapts to any minor anomalies that may occur in the incoming signal, as shown in Figure 4.5. Because of this, the threshold values, shown in blue, mimic the fluctuations in the correlation peak output, shown in black. Despite the timing improvements in the C++ receiver implementation, the same number of data blocks are used to successfully acquire the signal in both receivers. This is because both receivers implement the same acquisition algorithm and are fed data at the same rate, regardless of how quickly the receiver processes the data. As shown in the figure, the acquisition correlation output peak exceeds the threshold after 5475 blocks of processed data. The peak is then verified, and the tracking process is initiated. As shown in II, the average chip shape of the incoming data is produced by accumulating the correlator output in multiple stages. The first accumulation stage occurs over every 1ms data block. 54

67 The first stage accumulator represents the averaged output of the correlation simulator. Figure 4.6 shows a comparison between the first stage accumulation result produced by the both receivers. Figure 4.6: First Stage Accumulation Results In both the Matlab and C++ version of the receiver, shown in Figure 4.6, the first stage accumulation output changes dramatically when lock is achieved. Before locking, the correlator produces only noise. After lock is obtained, the average chip shape of the incoming data signal can be clearly seen. In Figure 4.6, the origin of each first stage accumulation plot represents the moment that acquisition is completed and tracking is initiated. When the data is no longer produced, 20,000 total 1ms blocks of data have been processed. It can be clearly seen that the navigation bit output is the same between the two receivers. Despite differences in timing and efficiency, both receivers operate in a similar capacity. 55

68 4.7. A more detailed look at the first stage accumulation data bit transitions can be found in Figure Figure 4.7: First Stage Accumulation Result Details The detailed view of the data bit transitions found in Figure 4.7 is taken from the Matlab implementation of the receiver. Clear bit transitions are apparent in the figure, with noise occurring within each bit of data. The data in Figure 4.7 is a representation of the navigation data and is produced from the in-phase correlation output. The second correlator accumulation occurs over a predetermined number of blocks of data, the PDI. This second stage accumulation produces a smoothing effect over the result found in Figure 4.6. These results are used when calculating values of the various locked loops used in tracking. A comparison of the second stage accumulations of both receivers is found in Figure

69 Figure 4.8: Software Accumulation Results Changes in accumulation amplitude are readily apparent throughout the two diagrams shown in Figure 4.8. As the tracking state machine progresses, the number of accumulation iterations increases. As the receiver moves through the tracking state machine, the local replicas used in tracking are less likely to require drastic adjustment. As a result, barring any unforeseen anomalies, fewer samples are required to maintain lock on the incoming signal. Increasing the accumulation time between lock loop calculations increases the amount of available overhead for additional processing. As with the first stage accumulation, the origin represents the moment tracking is initiated. The similarity between the two receiver outputs reinforces the previous assumption that the receivers will produce highly similar results. A more detailed view of the second stage accumulation is found in Figure

70 Figure 4.9: First Stage Accumulation Result Details In Figure 4.9, each accumulation is clearly shown. After the correlation output is accumulated over a predetermined period of time, the second stage accumulator is reset to zero, and accumulation begins again. It is also shown that with every change in accumulation time, both the amplitude and period of accumulation increases. In the initial tracking state, the frequency locked loop and delay lock loop are active. As discussed in II, this state performs an initial pull-in in order to make phase locking possible in a future state. When lock is achieved, the output of the frequency discriminator used in the frequency lock loop will average to a constant, as the change in phase remains constant. The frequency discriminator output of each receiver is found in Figure

71 Figure 4.10: Frequency Lock Loop Results In both frequency discriminator outputs shown in Figure 4.10, the result begins slightly above zero, and is further reduced over time. As discussed previously, navigation data bits cause a 180 o phase shift in the incoming signal. This is reflected by the large spikes in the frequency discriminator output. Even though the frequency spikes in the C++ receiver output are not the same sign as the Matlab output, they occur at rougly the same data blocks. This shows that the FLL is independent of phase shifts, and is only dependent on shifts in frequency These spikes are used in order to ensure accumulations do not span data bit transitions, as shown in II. The frequency lock loop processing begins when tracking is initialized. The delay lock loop is used in aiding the initial frequency lock loop. This ultimately improves performance and allows for a more efficient tracking process. When lock is achieved, the code discriminator used in the delay lock loop output will average to zero. This result is shown in Figure

72 Figure 4.11: Code Lock Loop Results For both receivers, the code discriminator output shown in Figure 4.11 begins slightly offset from zero. The C++ implementation begins at a greater offset than the Matlab version. This is indicative of a difference in how the efficiently the DLL is being used to adjust the local replica. This particular behavior is cause for future investigation. As tracking continues, the output is shifted and, eventually, averages to zero in both cases, indicating lock. This shows that the carrier correlation drives the performance of the receiver, despite a possible lack in DLL performance. After the initial pull-in state, the phase lock loop is initialized. The output of the phase discriminator used in the phase lock loop becomes zero when the signal is successfully tracked. This is shown in Figure

73 Figure 4.12: Phase Lock Loop Results As expected, both receivers shown in Figure 4.12 appear to begin phase locking at roughly the same time. The phase discriminator also begins to decay after a certain tracking period. This is often the case when a receiver operates in the steady state for an extended period of time. This can be mitigated through constant monitoring of the receiver loop outputs, and adjusting the state machine parameters accordingly. In order to indicate whether or not tracking has been successful, a phase lock indicator (PLI) is calculated. In order to produce the PLI, the total signal power, P T is compared to the in-phase power, P I using P LI = P I P T (4.1) If lock is achieved, the PLI will tend towards one. This is because the in-phase signal power is equal to the total signal power when lock is achieved. The representation of this throughout the tracking process is found in Figure

74 Figure 4.13: Phase Lock Indicator Results In each result in Figure 4.13, the PLI cycles between negative one and positive one. This is indicative of the total signal power fluctuating between the In-Phase and Quadrature signal components. Once lock is achieved, the In-Phase signal power is maximized and the PLI remains at positive one. As shown previously in Figure 4.12, the PLI also shows the tendency of the receiver to lose lock over an extended period of time. In addition to the phase lock indicator, the output of the code and carrier integration feedback loops are also used to indicate loss of lock. The shift in Doppler in the incoming signal is directly proportional to the shift in phase. As a result, the ideal outputs of each feedback loop will also be proportional. By subtracting a scaled version of the code integration loop output from the carrier output, the status of lock can be observed. Ideally, this value will remain zero, however there are sources of error such as ionospheric delay that can affect the relationship between Doppler and codephase shift. Because of this, both integration feedback loops are required in order to accurately indicate tracking. The code minus carrier, CmC, result produced by each receiver is found in Figure

GNSS Technologies. GNSS Acquisition Dr. Zahidul Bhuiyan Finnish Geospatial Research Institute, National Land Survey

GNSS Technologies. GNSS Acquisition Dr. Zahidul Bhuiyan Finnish Geospatial Research Institute, National Land Survey GNSS Acquisition 25.1.2016 Dr. Zahidul Bhuiyan Finnish Geospatial Research Institute, National Land Survey Content GNSS signal background Binary phase shift keying (BPSK) modulation Binary offset carrier

More information

Acquisition and Tracking of IRNSS Receiver on MATLAB and Xilinx

Acquisition and Tracking of IRNSS Receiver on MATLAB and Xilinx Acquisition and Tracking of IRNSS Receiver on MATLAB and Xilinx Kishan Y. Rathod 1, Dr. Rajendra D. Patel 2, Amit Chorasiya 3 1 M.E Student / Marwadi Education Foundation s Groups of Institute 2 Accociat

More information

Analysis of Processing Parameters of GPS Signal Acquisition Scheme

Analysis of Processing Parameters of GPS Signal Acquisition Scheme Analysis of Processing Parameters of GPS Signal Acquisition Scheme Prof. Vrushali Bhatt, Nithin Krishnan Department of Electronics and Telecommunication Thakur College of Engineering and Technology Mumbai-400101,

More information

UNIT 1 - introduction to GPS

UNIT 1 - introduction to GPS UNIT 1 - introduction to GPS 1. GPS SIGNAL Each GPS satellite transmit two signal for positioning purposes: L1 signal (carrier frequency of 1,575.42 MHz). Modulated onto the L1 carrier are two pseudorandom

More information

Design and Implementation of a SoC-Based Real-Time Vector Tracking GPS Receiver. Brian A. Keyser

Design and Implementation of a SoC-Based Real-Time Vector Tracking GPS Receiver. Brian A. Keyser Design and Implementation of a SoC-Based Real-Time Vector Tracking GPS Receiver by Brian A. Keyser A thesis submitted to the Graduate Faculty of Auburn University in partial fulfillment of the requirements

More information

Satellite Navigation Principle and performance of GPS receivers

Satellite Navigation Principle and performance of GPS receivers Satellite Navigation Principle and performance of GPS receivers AE4E08 GPS Block IIF satellite Boeing North America Christian Tiberius Course 2010 2011, lecture 3 Today s topics Introduction basic idea

More information

Fundamentals of Global Positioning System Receivers

Fundamentals of Global Positioning System Receivers Fundamentals of Global Positioning System Receivers A Software Approach SECOND EDITION JAMES BAO-YEN TSUI A JOHN WILEY & SONS, INC., PUBLICATION Fundamentals of Global Positioning System Receivers Fundamentals

More information

t =1 Transmitter #2 Figure 1-1 One Way Ranging Schematic

t =1 Transmitter #2 Figure 1-1 One Way Ranging Schematic 1.0 Introduction OpenSource GPS is open source software that runs a GPS receiver based on the Zarlink GP2015 / GP2021 front end and digital processing chipset. It is a fully functional GPS receiver which

More information

Receiving the L2C Signal with Namuru GPS L1 Receiver

Receiving the L2C Signal with Namuru GPS L1 Receiver International Global Navigation Satellite Systems Society IGNSS Symposium 27 The University of New South Wales, Sydney, Australia 4 6 December, 27 Receiving the L2C Signal with Namuru GPS L1 Receiver Sana

More information

Chapter 2 Direct-Sequence Systems

Chapter 2 Direct-Sequence Systems Chapter 2 Direct-Sequence Systems A spread-spectrum signal is one with an extra modulation that expands the signal bandwidth greatly beyond what is required by the underlying coded-data modulation. Spread-spectrum

More information

Evaluation of the pseudorange performance by using software GPS receiver

Evaluation of the pseudorange performance by using software GPS receiver Journal of Global Positioning Systems (005) Vol. 4, No. 1-: 15- Evaluation of the pseudorange performance by using software GPS receiver Shun-Ichiro Kondo, Nobuaki Kubo and Akio Yasuda -1-6 Etchujima Koto-ku

More information

GPS Global Positioning System

GPS Global Positioning System GPS Global Positioning System 10.04.2012 1 Agenda What is GPS? Basic consept History GPS receivers How they work Comunication Message format Satellite frequencies Sources of GPS signal errors 10.04.2012

More information

Foreword by Glen Gibbons About this book Acknowledgments List of abbreviations and acronyms List of definitions

Foreword by Glen Gibbons About this book Acknowledgments List of abbreviations and acronyms List of definitions Table of Foreword by Glen Gibbons About this book Acknowledgments List of abbreviations and acronyms List of definitions page xiii xix xx xxi xxv Part I GNSS: orbits, signals, and methods 1 GNSS ground

More information

GNSS Receiver Testing and Algorithm Development

GNSS Receiver Testing and Algorithm Development Wright State University CORE Scholar Browse all Theses and Dissertations Theses and Dissertations 2017 GNSS Receiver Testing and Algorithm Development Rachael E. Kolker Wright State University Follow this

More information

Quasi-Zenith Satellite System Interface Specification Positioning Technology Verification Service (IS-QZSS-TV-001)

Quasi-Zenith Satellite System Interface Specification Positioning Technology Verification Service (IS-QZSS-TV-001) Quasi-Zenith Satellite System Interface Specification Positioning Technology Verification Service (IS-QZSS-TV-001) (April 13, 2018) Cabinet Office Disclaimer of Liability The Cabinet Office, Government

More information

DESIGN AND IMPLEMENTATION OF INTEGRATED GLOBAL NAVIGATION SATELLITE SYSTEM (GNSS) RECEIVER. B.Tech Thesis Report

DESIGN AND IMPLEMENTATION OF INTEGRATED GLOBAL NAVIGATION SATELLITE SYSTEM (GNSS) RECEIVER. B.Tech Thesis Report Indian Institute of Technology Jodhpur DESIGN AND IMPLEMENTATION OF INTEGRATED GLOBAL NAVIGATION SATELLITE SYSTEM (GNSS) RECEIVER B.Tech Thesis Report Submitted by Arun Balajee V, Aswin Suresh and Mahesh

More information

Decoding Galileo and Compass

Decoding Galileo and Compass Decoding Galileo and Compass Grace Xingxin Gao The GPS Lab, Stanford University June 14, 2007 What is Galileo System? Global Navigation Satellite System built by European Union The first Galileo test satellite

More information

2 INTRODUCTION TO GNSS REFLECTOMERY

2 INTRODUCTION TO GNSS REFLECTOMERY 2 INTRODUCTION TO GNSS REFLECTOMERY 2.1 Introduction The use of Global Navigation Satellite Systems (GNSS) signals reflected by the sea surface for altimetry applications was first suggested by Martín-Neira

More information

Proceedings of Al-Azhar Engineering 7 th International Conference Cairo, April 7-10, 2003.

Proceedings of Al-Azhar Engineering 7 th International Conference Cairo, April 7-10, 2003. Proceedings of Al-Azhar Engineering 7 th International Conference Cairo, April 7-10, 2003. MODERNIZATION PLAN OF GPS IN 21 st CENTURY AND ITS IMPACTS ON SURVEYING APPLICATIONS G. M. Dawod Survey Research

More information

GPS software receiver implementations

GPS software receiver implementations GPS software receiver implementations OLEKSIY V. KORNIYENKO AND MOHAMMAD S. SHARAWI THIS ARTICLE PRESENTS A DETAILED description of the various modules needed for the implementation of a global positioning

More information

The Global Positioning System

The Global Positioning System The Global Positioning System Principles of GPS positioning GPS signal and observables Errors and corrections Processing GPS data GPS measurement strategies Precision and accuracy E. Calais Purdue University

More information

THE GPS SATELLITE AND PAYLOAD

THE GPS SATELLITE AND PAYLOAD THE GPS SATELLITE AND PAYLOAD Andrew Codik and Robert A. Gronlund Rockwell International Corporation Satellite Systems Division 12214 Lakewood Boulevard Downey, California, USA 90241 ABSTRACT The NAVSTAR/Global

More information

ESTIMATION OF IONOSPHERIC DELAY FOR SINGLE AND DUAL FREQUENCY GPS RECEIVERS: A COMPARISON

ESTIMATION OF IONOSPHERIC DELAY FOR SINGLE AND DUAL FREQUENCY GPS RECEIVERS: A COMPARISON ESTMATON OF ONOSPHERC DELAY FOR SNGLE AND DUAL FREQUENCY GPS RECEVERS: A COMPARSON K. Durga Rao, Dr. V B S Srilatha ndira Dutt Dept. of ECE, GTAM UNVERSTY Abstract: Global Positioning System is the emerging

More information

Challenges and Solutions for GPS Receiver Test

Challenges and Solutions for GPS Receiver Test Challenges and Solutions for GPS Receiver Test Presenter: Mirin Lew January 28, 2010 Agenda GPS technology concepts GPS and GNSS overview Assisted GPS (A-GPS) Basic tests required for GPS receiver verification

More information

GPS RECEIVER IMPLEMENTATION USING SIMULINK

GPS RECEIVER IMPLEMENTATION USING SIMULINK GPS RECEIVER IMPLEMENTATION USING SIMULINK C.Abhishek 1, A.Charitha 2, Dasari Goutham 3 1 Student, SCSVMV University, Kanchipuram 2 Student, kl university, Vijayawada 3 Student, SVEC college, Tirupati

More information

CH 5. Air Interface of the IS-95A CDMA System

CH 5. Air Interface of the IS-95A CDMA System CH 5. Air Interface of the IS-95A CDMA System 1 Contents Summary of IS-95A Physical Layer Parameters Forward Link Structure Pilot, Sync, Paging, and Traffic Channels Channel Coding, Interleaving, Data

More information

High Data Rate QPSK Modulator with CCSDS Punctured FEC channel Coding for Geo-Imaging Satellite

High Data Rate QPSK Modulator with CCSDS Punctured FEC channel Coding for Geo-Imaging Satellite International Journal of Advances in Engineering Science and Technology 01 www.sestindia.org/volume-ijaest/ and www.ijaestonline.com ISSN: 2319-1120 High Data Rate QPSK Modulator with CCSDS Punctured FEC

More information

Spread Spectrum Techniques

Spread Spectrum Techniques 0 Spread Spectrum Techniques Contents 1 1. Overview 2. Pseudonoise Sequences 3. Direct Sequence Spread Spectrum Systems 4. Frequency Hopping Systems 5. Synchronization 6. Applications 2 1. Overview Basic

More information

Design of Simulcast Paging Systems using the Infostream Cypher. Document Number Revsion B 2005 Infostream Pty Ltd. All rights reserved

Design of Simulcast Paging Systems using the Infostream Cypher. Document Number Revsion B 2005 Infostream Pty Ltd. All rights reserved Design of Simulcast Paging Systems using the Infostream Cypher Document Number 95-1003. Revsion B 2005 Infostream Pty Ltd. All rights reserved 1 INTRODUCTION 2 2 TRANSMITTER FREQUENCY CONTROL 3 2.1 Introduction

More information

B SCITEQ. Transceiver and System Design for Digital Communications. Scott R. Bullock, P.E. Third Edition. SciTech Publishing, Inc.

B SCITEQ. Transceiver and System Design for Digital Communications. Scott R. Bullock, P.E. Third Edition. SciTech Publishing, Inc. Transceiver and System Design for Digital Communications Scott R. Bullock, P.E. Third Edition B SCITEQ PUBLISHtN^INC. SciTech Publishing, Inc. Raleigh, NC Contents Preface xvii About the Author xxiii Transceiver

More information

Problem Areas of DGPS

Problem Areas of DGPS DYNAMIC POSITIONING CONFERENCE October 13 14, 1998 SENSORS Problem Areas of DGPS R. H. Prothero & G. McKenzie Racal NCS Inc. (Houston) Table of Contents 1.0 ABSTRACT... 2 2.0 A TYPICAL DGPS CONFIGURATION...

More information

Laboratory 5: Spread Spectrum Communications

Laboratory 5: Spread Spectrum Communications Laboratory 5: Spread Spectrum Communications Cory J. Prust, Ph.D. Electrical Engineering and Computer Science Department Milwaukee School of Engineering Last Update: 19 September 2018 Contents 0 Laboratory

More information

A Simulation Tool for Space-time Adaptive Processing in GPS

A Simulation Tool for Space-time Adaptive Processing in GPS Progress In Electromagnetics Research Symposium 2006, Cambridge, USA, March 26-29 363 A Simulation Tool for Space-time Adaptive Processing in GPS W. Y. Zhao, L. F. Xu, and R. B. Wu Civil Aviation University

More information

An ultra-low-cost antenna array frontend for GNSS application

An ultra-low-cost antenna array frontend for GNSS application International Collaboration Centre for Research and Development on Satellite Navigation Technology in South East Asia An ultra-low-cost antenna array frontend for GNSS application Thuan D. Nguyen, Vinh

More information

CH 4. Air Interface of the IS-95A CDMA System

CH 4. Air Interface of the IS-95A CDMA System CH 4. Air Interface of the IS-95A CDMA System 1 Contents Summary of IS-95A Physical Layer Parameters Forward Link Structure Pilot, Sync, Paging, and Traffic Channels Channel Coding, Interleaving, Data

More information

Double Phase Estimator: New Results

Double Phase Estimator: New Results Double Phase Estimator: New Results Daniele Borio European Commission, Joint Research Centre (JRC), Institute for the Protection and Security of the Citizen (IPSC), Security Technology Assessment Unit,

More information

Implementation and Performance Evaluation of a Fast Relocation Method in a GPS/SINS/CSAC Integrated Navigation System Hardware Prototype

Implementation and Performance Evaluation of a Fast Relocation Method in a GPS/SINS/CSAC Integrated Navigation System Hardware Prototype This article has been accepted and published on J-STAGE in advance of copyediting. Content is final as presented. Implementation and Performance Evaluation of a Fast Relocation Method in a GPS/SINS/CSAC

More information

GPS Basics. Using GPS signals to find where you are. Jonathan Olds

GPS Basics. Using GPS signals to find where you are. Jonathan Olds GPS Basics Using GPS signals to find where you are Jonathan Olds jontio@i4free.co.nz http://jontio.zapto.org c Jonti 2015 Contents 1 GPS basics 1 1.1 GPS signal.............................. 1 1.2 What

More information

GNSS Doppler Positioning (An Overview)

GNSS Doppler Positioning (An Overview) GNSS Doppler Positioning (An Overview) Mojtaba Bahrami Geomatics Lab. @ CEGE Dept. University College London A paper prepared for the GNSS SIG Technical Reading Group Friday, 29-Aug-2008 To be completed...

More information

Utilizing Batch Processing for GNSS Signal Tracking

Utilizing Batch Processing for GNSS Signal Tracking Utilizing Batch Processing for GNSS Signal Tracking Andrey Soloviev Avionics Engineering Center, Ohio University Presented to: ION Alberta Section, Calgary, Canada February 27, 2007 Motivation: Outline

More information

Understanding GPS: Principles and Applications Second Edition

Understanding GPS: Principles and Applications Second Edition Understanding GPS: Principles and Applications Second Edition Elliott Kaplan and Christopher Hegarty ISBN 1-58053-894-0 Approx. 680 pages Navtech Part #1024 This thoroughly updated second edition of an

More information

CARRIER PHASE VS. CODE PHASE

CARRIER PHASE VS. CODE PHASE DIFFERENTIAL CORRECTION Code phase processing- GPS measurements based on the pseudo random code (C/A or P) as opposed to the carrier of that code. (1-5 meter accuracy) Carrier phase processing- GPS measurements

More information

It is well known that GNSS signals

It is well known that GNSS signals GNSS Solutions: Multipath vs. NLOS signals GNSS Solutions is a regular column featuring questions and answers about technical aspects of GNSS. Readers are invited to send their questions to the columnist,

More information

Global Navigation Satellite Systems (GNSS)Part I EE 570: Location and Navigation

Global Navigation Satellite Systems (GNSS)Part I EE 570: Location and Navigation Lecture Global Navigation Satellite Systems (GNSS)Part I EE 570: Location and Navigation Lecture Notes Update on April 25, 2016 Aly El-Osery and Kevin Wedeward, Electrical Engineering Dept., New Mexico

More information

Orion-S GPS Receiver Software Validation

Orion-S GPS Receiver Software Validation Space Flight Technology, German Space Operations Center (GSOC) Deutsches Zentrum für Luft- und Raumfahrt (DLR) e.v. O. Montenbruck Doc. No. : GTN-TST-11 Version : 1.1 Date : July 9, 23 Document Title:

More information

RECOMMENDATION ITU-R M *, **

RECOMMENDATION ITU-R M *, ** Rec. ITU-R M.589-3 1 RECOMMENDATION ITU-R M.589-3 *, ** Technical characteristics of methods of data transmission and interference protection for radionavigation services in the frequency bands between

More information

AIRPORT MULTIPATH SIMULATION AND MEASUREMENT TOOL FOR SITING DGPS REFERENCE STATIONS

AIRPORT MULTIPATH SIMULATION AND MEASUREMENT TOOL FOR SITING DGPS REFERENCE STATIONS AIRPORT MULTIPATH SIMULATION AND MEASUREMENT TOOL FOR SITING DGPS REFERENCE STATIONS ABSTRACT Christophe MACABIAU, Benoît ROTURIER CNS Research Laboratory of the ENAC, ENAC, 7 avenue Edouard Belin, BP

More information

Assessing & Mitigation of risks on railways operational scenarios

Assessing & Mitigation of risks on railways operational scenarios R H I N O S Railway High Integrity Navigation Overlay System Assessing & Mitigation of risks on railways operational scenarios Rome, June 22 nd 2017 Anja Grosch, Ilaria Martini, Omar Garcia Crespillo (DLR)

More information

CHAPTER 2. Instructor: Mr. Abhijit Parmar Course: Mobile Computing and Wireless Communication ( )

CHAPTER 2. Instructor: Mr. Abhijit Parmar Course: Mobile Computing and Wireless Communication ( ) CHAPTER 2 Instructor: Mr. Abhijit Parmar Course: Mobile Computing and Wireless Communication (2170710) Syllabus Chapter-2.4 Spread Spectrum Spread Spectrum SS was developed initially for military and intelligence

More information

Worst-Case GPS Constellation for Testing Navigation at Geosynchronous Orbit for GOES-R

Worst-Case GPS Constellation for Testing Navigation at Geosynchronous Orbit for GOES-R Worst-Case GPS Constellation for Testing Navigation at Geosynchronous Orbit for GOES-R Kristin Larson, Dave Gaylor, and Stephen Winkler Emergent Space Technologies and Lockheed Martin Space Systems 36

More information

THIS work focus on a sector of the hardware to be used

THIS work focus on a sector of the hardware to be used DISSERTATION ON ELECTRICAL AND COMPUTER ENGINEERING 1 Development of a Transponder for the ISTNanoSAT (November 2015) Luís Oliveira luisdeoliveira@tecnico.ulisboa.pt Instituto Superior Técnico Abstract

More information

Introduction to Global Navigation Satellite System (GNSS) Signal Structure

Introduction to Global Navigation Satellite System (GNSS) Signal Structure Introduction to Global Navigation Satellite System (GNSS) Signal Structure Dinesh Manandhar Center for Spatial Information Science The University of Tokyo Contact Information: dinesh@iis.u-tokyo.ac.jp

More information

Security of Global Navigation Satellite Systems (GNSS) GPS Fundamentals GPS Signal Spoofing Attack Spoofing Detection Techniques

Security of Global Navigation Satellite Systems (GNSS) GPS Fundamentals GPS Signal Spoofing Attack Spoofing Detection Techniques Security of Global Navigation Satellite Systems (GNSS) GPS Fundamentals GPS Signal Spoofing Attack Spoofing Detection Techniques Global Navigation Satellite Systems (GNSS) Umbrella term for navigation

More information

BeiDou Next Generation Signal Design and Expected Performance

BeiDou Next Generation Signal Design and Expected Performance International Technical Symposium on Navigation and Timing ENAC, 17 Nov 2015 BeiDou Next Generation Signal Design and Expected Performance Challenges and Proposed Solutions Zheng Yao Tsinghua University

More information

LOW POWER GLOBAL NAVIGATION SATELLITE SYSTEM (GNSS) SIGNAL DETECTION AND PROCESSING

LOW POWER GLOBAL NAVIGATION SATELLITE SYSTEM (GNSS) SIGNAL DETECTION AND PROCESSING LOW POWER GLOBAL NAVIGATION SATELLITE SYSTEM (GNSS) SIGNAL DETECTION AND PROCESSING Dennis M. Akos, Per-Ludvig Normark, Jeong-Taek Lee, Konstantin G. Gromov Stanford University James B. Y. Tsui, John Schamus

More information

Presentation Outline. Advisors: Dr. In Soo Ahn Dr. Thomas L. Stewart. Team Members: Luke Vercimak Karl Weyeneth. Karl. Luke

Presentation Outline. Advisors: Dr. In Soo Ahn Dr. Thomas L. Stewart. Team Members: Luke Vercimak Karl Weyeneth. Karl. Luke Bradley University Department of Electrical and Computer Engineering Senior Capstone Project Presentation May 2nd, 2006 Team Members: Luke Vercimak Karl Weyeneth Advisors: Dr. In Soo Ahn Dr. Thomas L.

More information

The Galileo signal in space (SiS)

The Galileo signal in space (SiS) GNSS Solutions: Galileo Open Service and weak signal acquisition GNSS Solutions is a regular column featuring questions and answers about technical aspects of GNSS. Readers are invited to send their questions

More information

SX-NSR 2.0 A Multi-frequency and Multi-sensor Software Receiver with a Quad-band RF Front End

SX-NSR 2.0 A Multi-frequency and Multi-sensor Software Receiver with a Quad-band RF Front End SX-NSR 2.0 A Multi-frequency and Multi-sensor Software Receiver with a Quad-band RF Front End - with its use for Reflectometry - N. Falk, T. Hartmann, H. Kern, B. Riedl, T. Pany, R. Wolf, J.Winkel, IFEN

More information

EEE 309 Communication Theory

EEE 309 Communication Theory EEE 309 Communication Theory Semester: January 2016 Dr. Md. Farhad Hossain Associate Professor Department of EEE, BUET Email: mfarhadhossain@eee.buet.ac.bd Office: ECE 331, ECE Building Part 05 Pulse Code

More information

Signals, and Receivers

Signals, and Receivers ENGINEERING SATELLITE-BASED NAVIGATION AND TIMING Global Navigation Satellite Systems, Signals, and Receivers John W. Betz IEEE IEEE PRESS Wiley CONTENTS Preface Acknowledgments Useful Constants List of

More information

Spreading Codes and Characteristics. Error Correction Codes

Spreading Codes and Characteristics. Error Correction Codes Spreading Codes and Characteristics and Error Correction Codes Global Navigational Satellite Systems (GNSS-6) Short course, NERTU Prasad Krishnan International Institute of Information Technology, Hyderabad

More information

Spread Spectrum. Chapter 18. FHSS Frequency Hopping Spread Spectrum DSSS Direct Sequence Spread Spectrum DSSS using CDMA Code Division Multiple Access

Spread Spectrum. Chapter 18. FHSS Frequency Hopping Spread Spectrum DSSS Direct Sequence Spread Spectrum DSSS using CDMA Code Division Multiple Access Spread Spectrum Chapter 18 FHSS Frequency Hopping Spread Spectrum DSSS Direct Sequence Spread Spectrum DSSS using CDMA Code Division Multiple Access Single Carrier The traditional way Transmitted signal

More information

ECE 476/ECE 501C/CS Wireless Communication Systems Winter Lecture 6: Fading

ECE 476/ECE 501C/CS Wireless Communication Systems Winter Lecture 6: Fading ECE 476/ECE 501C/CS 513 - Wireless Communication Systems Winter 2005 Lecture 6: Fading Last lecture: Large scale propagation properties of wireless systems - slowly varying properties that depend primarily

More information

ECE 476/ECE 501C/CS Wireless Communication Systems Winter Lecture 6: Fading

ECE 476/ECE 501C/CS Wireless Communication Systems Winter Lecture 6: Fading ECE 476/ECE 501C/CS 513 - Wireless Communication Systems Winter 2004 Lecture 6: Fading Last lecture: Large scale propagation properties of wireless systems - slowly varying properties that depend primarily

More information

Design and Implementation of Real Time Basic GPS Receiver System using Simulink 8.1

Design and Implementation of Real Time Basic GPS Receiver System using Simulink 8.1 Design and Implementation of Real Time Basic GPS Receiver System using Simulink 8.1 Mrs. Rachna Kumari 1, Dr. Mainak Mukhopadhyay 2 1 Research Scholar, Birla Institute of Technology, Mesra, Jharkhand,

More information

Acquisition Strategies of GNSS Receiver

Acquisition Strategies of GNSS Receiver Acquisition Strategies of GNSS Receiver Khan, R., Khan, S. U., Zaheer, R., & Khan, S. (2011). Acquisition Strategies of GNSS Receiver. In Proceedings of 2011 International Conference on Computer Networks

More information

EE 570: Location and Navigation

EE 570: Location and Navigation EE 570: Location and Navigation Global Navigation Satellite Systems (GNSS) Part I Aly El-Osery Kevin Wedeward Electrical Engineering Department, New Mexico Tech Socorro, New Mexico, USA In Collaboration

More information

Understanding GPS/GNSS

Understanding GPS/GNSS Understanding GPS/GNSS Principles and Applications Third Edition Contents Preface to the Third Edition Third Edition Acknowledgments xix xxi CHAPTER 1 Introduction 1 1.1 Introduction 1 1.2 GNSS Overview

More information

Lecture 12. Carrier Phase Synchronization. EE4900/EE6720 Digital Communications

Lecture 12. Carrier Phase Synchronization. EE4900/EE6720 Digital Communications EE49/EE6720: Digital Communications 1 Lecture 12 Carrier Phase Synchronization Block Diagrams of Communication System Digital Communication System 2 Informatio n (sound, video, text, data, ) Transducer

More information

UTILIZATION OF AN IEEE 1588 TIMING REFERENCE SOURCE IN THE inet RF TRANSCEIVER

UTILIZATION OF AN IEEE 1588 TIMING REFERENCE SOURCE IN THE inet RF TRANSCEIVER UTILIZATION OF AN IEEE 1588 TIMING REFERENCE SOURCE IN THE inet RF TRANSCEIVER Dr. Cheng Lu, Chief Communications System Engineer John Roach, Vice President, Network Products Division Dr. George Sasvari,

More information

GPS (Introduction) References. Terms

GPS (Introduction) References. Terms GPS (Introduction) WCOM2, GPS, 1 Terms NAVSTAR GPS ( Navigational Satellite Timing and Ranging - Global Positioning System) is a GNSS (Global Navigation Satellite System), developed by the US-DoD in 197x

More information

V. Digital Implementation of Satellite Carrier Acquisition and Tracking

V. Digital Implementation of Satellite Carrier Acquisition and Tracking V. Digital Implementation of Satellite Carrier Acquisition and Tracking Most satellite systems utilize TDMA, where multiple users share the same channel by using the bandwidth for discrete intervals of

More information

Entity Tracking and Surveillance using the Modified Biometric System, GPS-3

Entity Tracking and Surveillance using the Modified Biometric System, GPS-3 Advance in Electronic and Electric Engineering. ISSN 2231-1297, Volume 3, Number 9 (2013), pp. 1115-1120 Research India Publications http://www.ripublication.com/aeee.htm Entity Tracking and Surveillance

More information

Performance of Wideband Mobile Channel with Perfect Synchronism BPSK vs QPSK DS-CDMA

Performance of Wideband Mobile Channel with Perfect Synchronism BPSK vs QPSK DS-CDMA Performance of Wideband Mobile Channel with Perfect Synchronism BPSK vs QPSK DS-CDMA By Hamed D. AlSharari College of Engineering, Aljouf University, Sakaka, Aljouf 2014, Kingdom of Saudi Arabia, hamed_100@hotmail.com

More information

GLOBAL POSITIONING SYSTEMS. Knowing where and when

GLOBAL POSITIONING SYSTEMS. Knowing where and when GLOBAL POSITIONING SYSTEMS Knowing where and when Overview Continuous position fixes Worldwide coverage Latitude/Longitude/Height Centimeter accuracy Accurate time Feasibility studies begun in 1960 s.

More information

3D-Map Aided Multipath Mitigation for Urban GNSS Positioning

3D-Map Aided Multipath Mitigation for Urban GNSS Positioning Summer School on GNSS 2014 Student Scholarship Award Workshop August 2, 2014 3D-Map Aided Multipath Mitigation for Urban GNSS Positioning I-Wen Chu National Cheng Kung University, Taiwan. Page 1 Outline

More information

GPS Glossary Written by Carl Carter SiRF Technology 2005

GPS Glossary Written by Carl Carter SiRF Technology 2005 GPS Glossary Written by Carl Carter SiRF Technology 2005 This glossary provides supplementary information for students of GPS Fundamentals. While many of the terms can have other definitions from those

More information

Tracking New Signals from Space GPS Modernization and Trimble R-Track Technology

Tracking New Signals from Space GPS Modernization and Trimble R-Track Technology Tracking New Signals from Space GPS Modernization and Trimble R-Track Technology Edmond T. Norse Trimble Integrated Surveying Group, Westminster, Colorado U.S. TRIMBLE 2 Tracking New Signals from Space

More information

Chapter 2 Overview - 1 -

Chapter 2 Overview - 1 - Chapter 2 Overview Part 1 (last week) Digital Transmission System Frequencies, Spectrum Allocation Radio Propagation and Radio Channels Part 2 (today) Modulation, Coding, Error Correction Part 3 (next

More information

Mobile & Wireless Networking. Lecture 2: Wireless Transmission (2/2)

Mobile & Wireless Networking. Lecture 2: Wireless Transmission (2/2) 192620010 Mobile & Wireless Networking Lecture 2: Wireless Transmission (2/2) [Schiller, Section 2.6 & 2.7] [Reader Part 1: OFDM: An architecture for the fourth generation] Geert Heijenk Outline of Lecture

More information

AN FPGA IMPLEMENTATION OF ALAMOUTI S TRANSMIT DIVERSITY TECHNIQUE

AN FPGA IMPLEMENTATION OF ALAMOUTI S TRANSMIT DIVERSITY TECHNIQUE AN FPGA IMPLEMENTATION OF ALAMOUTI S TRANSMIT DIVERSITY TECHNIQUE Chris Dick Xilinx, Inc. 2100 Logic Dr. San Jose, CA 95124 Patrick Murphy, J. Patrick Frantz Rice University - ECE Dept. 6100 Main St. -

More information

Hardware/Software Co-Simulation of BPSK Modulator and Demodulator using Xilinx System Generator

Hardware/Software Co-Simulation of BPSK Modulator and Demodulator using Xilinx System Generator www.semargroups.org, www.ijsetr.com ISSN 2319-8885 Vol.02,Issue.10, September-2013, Pages:984-988 Hardware/Software Co-Simulation of BPSK Modulator and Demodulator using Xilinx System Generator MISS ANGEL

More information

ECE 476/ECE 501C/CS Wireless Communication Systems Winter Lecture 6: Fading

ECE 476/ECE 501C/CS Wireless Communication Systems Winter Lecture 6: Fading ECE 476/ECE 501C/CS 513 - Wireless Communication Systems Winter 2003 Lecture 6: Fading Last lecture: Large scale propagation properties of wireless systems - slowly varying properties that depend primarily

More information

Correlators for L2C. Some Considerations

Correlators for L2C. Some Considerations Correlators for L2C Some Considerations Andrew dempster Lockheed Martin With the launch of the first modernized GPS Block IIR satellite in September 2006, GNSS product designers have an additional, fully

More information

GPS Multipath Reduction with Correlator Beamforming

GPS Multipath Reduction with Correlator Beamforming Air Force Institute of Technology AFIT Scholar Theses and Dissertations 3-14-2014 GPS Multipath Reduction with Correlator Beamforming Jason M. Barhorst Follow this and additional works at: https://scholar.afit.edu/etd

More information

EEE 309 Communication Theory

EEE 309 Communication Theory EEE 309 Communication Theory Semester: January 2017 Dr. Md. Farhad Hossain Associate Professor Department of EEE, BUET Email: mfarhadhossain@eee.buet.ac.bd Office: ECE 331, ECE Building Types of Modulation

More information

Benefits of a Reconfigurable Software GNSS Receiver in Multipath Environment

Benefits of a Reconfigurable Software GNSS Receiver in Multipath Environment Journal of Global Positioning Systems (4) Vol. 3, No. 1-: 49-56 Benefits of a Reconfigurable Software GNSS Receiver in Multipath Environment Fabio Dovis, Marco Pini, Massimiliano Spelat Politecnico di

More information

CDMA Technology : Pr. S. Flament Pr. Dr. W. Skupin On line Course on CDMA Technology

CDMA Technology : Pr. S. Flament  Pr. Dr. W. Skupin  On line Course on CDMA Technology CDMA Technology : Pr. Dr. W. Skupin www.htwg-konstanz.de Pr. S. Flament www.greyc.fr/user/99 On line Course on CDMA Technology CDMA Technology : Introduction to Spread Spectrum Technology CDMA / DS : Principle

More information

OFDMA and MIMO Notes

OFDMA and MIMO Notes OFDMA and MIMO Notes EE 442 Spring Semester Lecture 14 Orthogonal Frequency Division Multiplexing (OFDM) is a digital multi-carrier modulation technique extending the concept of single subcarrier modulation

More information

Antenna Measurements using Modulated Signals

Antenna Measurements using Modulated Signals Antenna Measurements using Modulated Signals Roger Dygert MI Technologies, 1125 Satellite Boulevard, Suite 100 Suwanee, GA 30024-4629 Abstract Antenna test engineers are faced with testing increasingly

More information

Prototype Software-based Receiver for Remote Sensing using Reflected GPS Signals. Dinesh Manandhar The University of Tokyo

Prototype Software-based Receiver for Remote Sensing using Reflected GPS Signals. Dinesh Manandhar The University of Tokyo Prototype Software-based Receiver for Remote Sensing using Reflected GPS Signals Dinesh Manandhar The University of Tokyo dinesh@qzss.org 1 Contents Background Remote Sensing Capability System Architecture

More information

Modelling GPS Observables for Time Transfer

Modelling GPS Observables for Time Transfer Modelling GPS Observables for Time Transfer Marek Ziebart Department of Geomatic Engineering University College London Presentation structure Overview of GPS Time frames in GPS Introduction to GPS observables

More information

UHF Phased Array Ground Stations for Cubesat Applications

UHF Phased Array Ground Stations for Cubesat Applications UHF Phased Array Ground Stations for Cubesat Applications Colin Sheldon, Justin Bradfield, Erika Sanchez, Jeffrey Boye, David Copeland and Norman Adams 10 August 2016 Colin Sheldon, PhD 240-228-8519 Colin.Sheldon@jhuapl.edu

More information

Assessment of GNSS Ionospheric Scintillation and TEC Monitoring Using the Multi-constellation GPStation-6 Receiver

Assessment of GNSS Ionospheric Scintillation and TEC Monitoring Using the Multi-constellation GPStation-6 Receiver Assessment of GNSS Ionospheric Scintillation and TEC Monitoring Using the Multi-constellation GPStation-6 Receiver Rod MacLeod Regional Manager Asia/Pacific NovAtel Australia Pty Ltd Outline Ionospheric

More information

Evaluation of C/N 0 estimators performance for GNSS receivers

Evaluation of C/N 0 estimators performance for GNSS receivers International Conference and Exhibition The 14th IAIN Congress 2012 Seamless Navigation (Challenges & Opportunities) 01-03 October, 2012 - Cairo, Egypt Concorde EL Salam Hotel Evaluation of C/N 0 estimators

More information

2. GPS and GLONASS Basic Facts

2. GPS and GLONASS Basic Facts 2. GPS and GLONASS Basic Facts In 1973 the U.S. Department of Defense decided to establish, develop, test, acquire, and deploy a spaceborne Global Positioning System (GPS). The result of this decision

More information

GPS (Introduction) References. Terms

GPS (Introduction) References. Terms GPS (Introduction) MSE, Rumc, GPS, 1 Terms NAVSTAR GPS ( Navigational Satellite Timing and Ranging - Global Positioning System) is a GNSS (Global Navigation Satellite System), developed by the US-DoD in

More information

Basics of Satellite Navigation an Elementary Introduction Prof. Dr. Bernhard Hofmann-Wellenhof Graz, University of Technology, Austria

Basics of Satellite Navigation an Elementary Introduction Prof. Dr. Bernhard Hofmann-Wellenhof Graz, University of Technology, Austria Basics of Satellite Navigation an Elementary Introduction Prof. Dr. Bernhard Hofmann-Wellenhof Graz, University of Technology, Austria CONCEPT OF GPS Prof. Dr. Bernhard Hofmann-Wellenhof Graz, University

More information

New Features of IEEE Std Digitizing Waveform Recorders

New Features of IEEE Std Digitizing Waveform Recorders New Features of IEEE Std 1057-2007 Digitizing Waveform Recorders William B. Boyer 1, Thomas E. Linnenbrink 2, Jerome Blair 3, 1 Chair, Subcommittee on Digital Waveform Recorders Sandia National Laboratories

More information

Update on GPS L1C Signal Modernization. Tom Stansell Aerospace Consultant GPS Wing

Update on GPS L1C Signal Modernization. Tom Stansell Aerospace Consultant GPS Wing Update on GPS L1C Signal Modernization Tom Stansell Aerospace Consultant GPS Wing Glossary BOC = Binary Offset Carrier modulation C/A = GPS Coarse/Acquisition code dbw = 10 x log(signal Power/1 Watt) E1

More information