ECE 658 Project - Delay Locked Loop Design. Y. Sinan Hanay

Size: px
Start display at page:

Download "ECE 658 Project - Delay Locked Loop Design. Y. Sinan Hanay"

Transcription

1 ECE 658 Project - Delay Locked Loop Design Y. Sinan Hanay December 20, 2007

2 Chapter 1 Introduction Generation and distribution of clock signals inside the VLSI systems is one of the most important problems in the design of VLSI systems. Because of the process variations and interconnect parasitics, clock signals delays vary for dierent paths. The clock signals should have zero clock skew, that is to say all the clock signals should arrive at the inputs of registers at the same time. Otherwise latches and ip-ops get clock signal at dierent time instances. In order to circuit to operate correctly these dierences should be eliminated, ideally to zero. However it is not possible practically and 10% of the clock cycle is expended in order to compensate for clock skew[1]. To handle this problem, several solutions are proposed. One of which is usage of H-tree clock networks, in which conguration the distance to all circuit blocks is same thus the clock delay would be same. But this technique is hard to implement since the dierent fanout requirements for dierent blocks and routing constraints. Also some CAD techniques and heuristics are used in the routing of the clock trees [6]. The reduction of clock skew is one of the important problems in the VLSI design. Passive techniques such as clock network optimization techniques cannot completely reduce the clock skew [2]. Phase-locked loops and delay-locked loops (DLL) are extensively used in VLSI circuits in order to decrease clock screw in the clock networks. DLL is a rst order loop that compares it`s input with a reference signal, than delay it `s output so that it can synchronize with the reference signal in a feedback fashion. DLL consists of 4 units: 1. Phase Detector 2. Charge Pump 3. Filter 4. Voltage Controlled Delay Line (VCDL) 1

3 It`s working principle is as follows: First, phase detect block compares the reference clock signal with the output signal, depending on the dierence, if reference signal is leading the output Up (U) signal, else if the reference signal lagging the output signal Down (D) signal is asserted, and thus controls the delay line appropriately. VCDL adjusts the phase of the output signal proportional to the dierence between reference signal until the output signal is high %50 of the time. In the gure below this principle is illustrated 2

4 DLL Design DLLs are notoriously dicult to build correctly. They require expertise in both feedback control systems and analog design. (N. Weste, D. Harris, A. Banerjee, 2005: 490 [14]) In this project some part of the design required Analog design and control systems background. DLLs can be classied in two groups: Analog and Digital DLLs. Digital DLLs have the advantage of higher exibility on supply voltage and low design complexity, while Analog DLLs oer better jitter [9], smaller area, low noise sensitivity and power consumption[8]. The DLL consists of four main components, and they will be discussed in the following sections. 1.1 Phase Detector In order to deskew the clock, rst of all the skew must be known. This skew can be detected by the phase detectors. Its function is to detect the phase dierence between reference clock signal with the input clock signal. It takes these clock signals as input and produces an output that is proportional to the phase dierence. Phase detectors can be analog or digital. The rst phase detectors used in locked-loops was the linear multiplier phase detector, but as the PLLs became to implemented by digital components, digital phase detectors become popular [7]. Simplest digital detector is an XOR gate, of which output is zero if the two inputs have same phase, and one as long as they are not equal. Phase detectors can be implemented digitally by J-K ip-ops. In this work, linear phase detector proposed in [4]is implemented is shown in Figure 1.1. Schematic and layout of the phase detector are shown in Figure 1.2 and 1.3. Figure 1.1: Phase Detector [4] 3

5 Figure 1.2: Phase Detector 1.2 Charge Pump Figure 1.3: Layout of the Phase Detector Charge pump design was one of the most complicated part of the DLL.The zero-oset charge pump that was mentioned in [5]was used. Figures 1.4 and 1.5 shows the schematic and layout of the charge pump. 1.3 Filter In the history of the PLLs the rst phase detector was the linear multiplier phase detector [7]. This multiply lters simply multiply the two inputs, and the desired result is reached by 4

6 Figure 1.4: Schematic of the Charge Pump eleminating the higher frequency terms( odd-harmonics) in the product. This elemination, or ltering, is done by a low pass lter. In DLLs there is no need to include a low pass lter, instead a capacitor is used in order to integrate the phase error mainly, and thus increasing control voltage appropriately. So lter block is simply a capacitor, and a 100fF capacitor was used. Increasing capacitor increases the lock time while decreases the bandwidth and the ripples on the control voltage, and decreasing it decreases lock time and increases the ripples. 1.4 Voltage Controlled Delay Line The function of Voltage Controlled Delay Line (VCDL) is to delay the reference signal so that there is no skew between the output clock and reference signal. Its transfer function is simply, t d = κ DL V filter where V filter is the ltered control input to the VCDL fromcharge pump, t d is the delay (the output) andκis the gain of VCDL. The minimum and maximum delay should be Tc 2 and 1.5 T c For the voltage controlled line, there are several dierent techniques can be employed by analog or digital circuitry. The most common techniques use cascaded inverters. Inverters are used specically for the highest delay resolution. Two main usage of cascaded inverters are called: 1) Current-Starved Inverter Delay Line (in Figure 1.6) and 2) Shunt Capacitor Delay Stage (or Capacitor-Loaded Inverter Delay Line in Figure 1.7 [10]. The delay range of Current-starved inverter circuit is higher than Shunt-capacitor circuit, however Shunt-capacitor transfer function is more linear and has lower gain than the that of Current-starved one [11, 10]. Lower gain is important because higher gain also amplies the noise on the control voltage and may violate the stability [10], and linearity is important since 5

7 Figure 1.5: Layout of the Charge Pump the VCDL is modeled as linear with gain κ dl. In this project I used a two-stage shunt-capacitor delay circuit for the reasons mentioned above. The reason for using two stage is because its noise immunity is higher. Increasing delay stages increases κ d, and which in turn will increase the jitter [13]. I also implemented 8 stage VCDL but did not get satisfactory results. Figure 1.8 shows the implemented VCDL`s transfer characteristics. Maximum achievable delay is around 1.4 ns. Figure 1.6: Current-Starved Inverter Delay Line [10] 6

8 Figure 1.7: Shunt Capacitor Delay Stage [12] 1.5 Simulations Figure 1.8: Implemented VCDL`s Transfer Characteristics Figure 1.11 shows the simulation results for 250 MHz. As it can be seen from the gure, the locking is achieved aroung 14th cycle with a static phase error of 194 ps when the clock is leading the reference signal. By decreasing charge pump`s output resistance it is possible to delay in a shorter time and suppressing the phase error. The results of decreasing charge pump`s output resistance is show in Figure It reveals that locking is achieved at 6th clock cycle, but drawback here is the pulse-width of the clock is decreased too. Figures also show that in the lock state, both UP and DOWN signals are asserted for same duration, and the charge pump current rst increases then decreases by the same amount, eectively putting no net charge to lter. Figure 1.13 shows the static error of 192 ps for DLL running at 1 GHz, when the clock is lagging the reference signal. 7

9 Figure 1.9: Layout of the VCDL Figure 1.10: Layout of the DLL 8

10 Figure 1.11: DLL at 250 MHz 9

11 Figure 1.12: DLL at 250 MHz 10

12 Figure 1.13: DLL at 1 GHz 11

13 Results and Conclusions In this section implemented DLL`s performance is compared with some previous works. This Work JSSC99 [9] Thesis [8] Process 0.25 µm CMOS 0.40 µm CMOS 0.18 µm CMOS Max Operating Frequency 800 MHz 667 MHz 700 MHz Min Operating Frequency 200 MHz 250 MHz 160 MHz Lock Time 14 cycles 2.9µs 11 cycles Static Phase Error 192 ps 80 ps The DLL`s noise immunity can be improved by employing Self Biased techniques mentioned in which the process dependent variables in the system dynamics are eliminated [5]. In another work, noise immunity is increased by using regulated Supply CMOS Buers [4]. However since these techniques require dierential elements it increases the circuit complexity 12

14 Appendix LVS Reports 1) LVS Report of the Phase LVS version /02/ :50 (cds11620) $ Like matching is enabled. Net swapping is enabled. Using terminal names as correspondence points. Compiling Diva LVS rules... Net-list summary for /grads/h/hanay/dokuman/proje/lvs/layout/netlist count 18 nets 6 terminals 14 pmos 16 nmos Net-list summary for /grads/h/hanay/dokuman/proje/lvs/schematic/netlist count 18 nets 6 terminals 14 pmos 16 nmos Terminal correspondence points 1 DN 2 UP 3 ckin 4 ckref 5 gnd! 6 vdd! The net-lists match. instances layout schematic un-matched 0 0 rewired 0 0 size errors 0 0 pruned 0 0 active total nets un-matched 0 0 merged 0 0 pruned 0 0 active total terminals un-matched 0 0 matched but dierent type 0 0 total 6 6 Probe les from /grads/h/hanay/dokuman/proje/lvs/schematic devbad.out: netbad.out: mergenet.out: termbad.out: prunenet.out: prunedev.out: audit.out: Probe les from /grads/h/hanay/dokuman/proje/lvs/layout devbad.out: 13

15 netbad.out: mergenet.out: termbad.out: prunenet.out: prunedev.out: audit.out: 2)LVS Report of the Charge LVS version /02/ :50 (cds11620) $ Like matching is enabled. Net swapping is enabled. Using terminal names as correspondence points. Compiling Diva LVS rules... Net-list summary for /grads/h/hanay/lvs/layout/netlist count 13 nets 6 terminals 10 pmos 8 nmos Net-list summary for /grads/h/hanay/lvs/schematic/netlist count 13 nets 6 terminals 10 pmos 8 nmos Terminal correspondence points 1 DN 2 OUT 3 UP 4 VBN 5 gnd! 6 vdd! The net-lists match. instances layout schematic un-matched 0 0 rewired 0 0 size errors 0 0 pruned 0 0 active total nets un-matched 0 0 merged 0 0 pruned 0 0 active total terminals un-matched 0 0 matched but dierent type 0 0 total 6 6 Probe les from /grads/h/hanay/lvs/schematic devbad.out: netbad.out: mergenet.out: termbad.out: 14

16 prunenet.out: prunedev.out: audit.out: Probe les from /grads/h/hanay/lvs/layout devbad.out: netbad.out: mergenet.out: termbad.out: prunenet.out: prunedev.out: audit.out: 2)LVS Report of the Voltage Controlled Delay LVS version /02/ :50 (cds11620) $ Like matching is enabled. Net swapping is enabled. Using terminal names as correspondence points. Compiling Diva LVS rules... Net-list summary for /grads/h/hanay/lvs/layout/netlist count 8 nets 5 terminals 2 pmos 14 nmos Net-list summary for /grads/h/hanay/lvs/schematic/netlist count 8 nets 5 terminals 2 pmos 6 nmos Terminal correspondence points 1 gnd! 2 out 3 vctrl 4 vdd! 5 vin The net-lists match. instances layout schematic un-matched 0 0 rewired 0 0 size errors 0 0 pruned 0 0 active 16 8 total 16 8 nets un-matched 0 0 merged 0 0 pruned 0 0 active 8 8 total 8 8 terminals un-matched 0 0 matched but dierent type 0 0 total 5 5 Probe les from /grads/h/hanay/lvs/schematic devbad.out: netbad.out: mergenet.out: termbad.out: prunenet.out: prunedev.out: audit.out: 15

17 Probe les from /grads/h/hanay/lvs/layout devbad.out: netbad.out: mergenet.out: termbad.out: prunenet.out: prunedev.out: audit.out: 16

18 Bibliography [1] S.M. Kang, Y. Leblebici, CMOS Digital Integrated Circuits, McGraw Hill, [2] Z. Zilic, Phase- and Delay-Locked Loop Clock Control in Digital Systems, [3] J.M. Rabaey, A. Chandrakasan, and B. Nikolic, Digital Integrated Circuits, 2nd ed., Prentice Hall, [4] S. Sidiropoulos, D. Liu, J. Kim, G. Wei, and M. Horowitz. Adaptive bandwidth DLLs and PLLs using regulated supply CMOS buers. In Proc. IEEE Symposium on VLSI Circuits, pages , June [5] Maneatis, et al., "Low-Jitter Process-Independent DLL and PLL Based on Self-Biased Techniques," IEEE Journal of Solid-State Circuits, Vol. 31, No. 11, November [6] N. Menezes, S. Pullela, A. Balivada and L.T. Pillage, Skew Reduction in Clock Trees Using Wire Width Optimization, Proceedings Custom Integrated Circuits Conference, May [7] R. E. Best, Phase-Locked Loops, 6th Edition, McGraw Hill, [8] C. Jia, A Delay-Locked Loop for Multiple Clock Phases/Delays Generation, Doctoral Thesis, Georgia Institute of Technology, [9] B.W. Garlepp, K. S. Donnelly, J. Kim, P. S. Chau, J. L. Zerbe, C. Huang, C. V. Tran, C. L. Portmann, D. Stark, Y.-F. Chan, T. H. Leen, and M. A. Horowitz, A Portable Digital DLL for High-Speed CMOS Interface Circuits, IEEE J. Solid-State Circuits, vol. 34, pp , May [10] M. G. Johnson and M. E. Hudson, A variable delay line PLL for CPUcoprocessor synchronization, IEEE J. Solid-State Circuits, vol. 23, pp , Oct [11] W. J. Dally and J. W. Poulton, Digital Systems Engineering, Cambridge University Press, [12] S. Liu, J. Lee and H. Tsao, "Low-power clock-deskew buer for high-speed digital circuits", IEEE Journal of Solid-State Circuits, SC-34, pp , April [13] J. Baker, H. W. Li and D. E. Boyce, "CMOS - Circuit Design, Layout and Simulation, IEEE Press,

19 [14] N. H. E. Weste, D. Harris and A. Banerjee, CMOS VLSI Design A Circuits and Systems Perspective, Pearson Addison-Wesley, [15] B. Razavi, Design of CMOS Analog Integrated Circuits, McGraw Hill, [16] P. Gray, P. J. Hurst, S. H. Lewis, R. G. Meyer, Analysis and Design of Analog Integrated Circuits, John Wiley Sons, Inc,

CMOS Operational Amplifier

CMOS Operational Amplifier The George Washington University Department of Electrical and Computer Engineering Course: ECE218 Instructor: Mona E. Zaghloul Students: Shunping Wang Yiping (Neil) Tsai Data: 05/14/07 Introduction In

More information

Delay-Locked Loop Using 4 Cell Delay Line with Extended Inverters

Delay-Locked Loop Using 4 Cell Delay Line with Extended Inverters International Journal of Electronics and Electrical Engineering Vol. 2, No. 4, December, 2014 Delay-Locked Loop Using 4 Cell Delay Line with Extended Inverters Jefferson A. Hora, Vincent Alan Heramiz,

More information

DESIGN OF ERROR AMPLIFIER FOR LDO

DESIGN OF ERROR AMPLIFIER FOR LDO ECEN 607 DESIGN OF ERROR AMPLIFIER FOR LDO PROJECT REPORT Rakesh Selvaraj [UIN XXX-XX-7544] Shriram Kalusalingam [UIN XXX-XX-2738] DEPARTMENT OF ELECTRICAL ENGINEERING CONTENTS S.No TITLE Page No 1 OBJECTIVE

More information

Design of an Efficient Phase Frequency Detector for a Digital Phase Locked Loop

Design of an Efficient Phase Frequency Detector for a Digital Phase Locked Loop Design of an Efficient Phase Frequency Detector for a Digital Phase Locked Loop Shaik. Yezazul Nishath School Of Electronics Engineering (SENSE) VIT University Chennai, India Abstract This paper outlines

More information

320MHz Digital Phase Lock Loop. Patrick Spinney Department of Electrical Engineering University of Maine

320MHz Digital Phase Lock Loop. Patrick Spinney Department of Electrical Engineering University of Maine 320MHz Digital Phase Lock Loop Patrick Spinney Department of Electrical Engineering University of Maine December 2004 Abstract DPLLs (Digital Phase Locked Loop) are commonly used in communications systems.

More information

ECEN620: Network Theory Broadband Circuit Design Fall 2012

ECEN620: Network Theory Broadband Circuit Design Fall 2012 ECEN620: Network Theory Broadband Circuit Design Fall 2012 Lecture 11: Charge Pump Circuits Sam Palermo Analog & Mixed-Signal Center Texas A&M University Announcements & Agenda Exam 1 is on Wed. Oct 3

More information

ECEN620: Network Theory Broadband Circuit Design Fall 2014

ECEN620: Network Theory Broadband Circuit Design Fall 2014 ECEN620: Network Theory Broadband Circuit Design Fall 2014 Lecture 8: Charge Pump Circuits Sam Palermo Analog & Mixed-Signal Center Texas A&M University Announcements & Agenda HW2 is due Oct 6 Exam 1 is

More information

Self Biased PLL/DLL. ECG 721 Memory Circuit Design (Spring 2017) Dane Gentry 4/17/17

Self Biased PLL/DLL. ECG 721 Memory Circuit Design (Spring 2017) Dane Gentry 4/17/17 Self Biased PLL/DLL ECG 721 Memory Circuit Design (Spring 2017) Dane Gentry 4/17/17 1 Jitter Self Biased PLL/DLL Differential Buffer Delay Fig. 19.57 Bias Generator Self Biased DLL Input/Output p Delay

More information

DESIGN OF MULTIPLYING DELAY LOCKED LOOP FOR DIFFERENT MULTIPLYING FACTORS

DESIGN OF MULTIPLYING DELAY LOCKED LOOP FOR DIFFERENT MULTIPLYING FACTORS DESIGN OF MULTIPLYING DELAY LOCKED LOOP FOR DIFFERENT MULTIPLYING FACTORS Aman Chaudhary, Md. Imtiyaz Chowdhary, Rajib Kar Department of Electronics and Communication Engg. National Institute of Technology,

More information

/$ IEEE

/$ IEEE IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 53, NO. 11, NOVEMBER 2006 1205 A Low-Phase Noise, Anti-Harmonic Programmable DLL Frequency Multiplier With Period Error Compensation for

More information

FFT Analysis, Simulation of Computational Model and Netlist Model of Digital Phase Locked Loop

FFT Analysis, Simulation of Computational Model and Netlist Model of Digital Phase Locked Loop IJSTE - International Journal of Science Technology & Engineering Volume 2 Issue 10 April 2016 ISSN (online): 2349-784X FFT Analysis, Simulation of Computational Model and Netlist Model of Digital Phase

More information

Self-Biased PLL/DLL. ECG minute Final Project Presentation. Wenlan Wu Electrical and Computer Engineering University of Nevada Las Vegas

Self-Biased PLL/DLL. ECG minute Final Project Presentation. Wenlan Wu Electrical and Computer Engineering University of Nevada Las Vegas Self-Biased PLL/DLL ECG721 60-minute Final Project Presentation Wenlan Wu Electrical and Computer Engineering University of Nevada Las Vegas Outline Motivation Self-Biasing Technique Differential Buffer

More information

EE290C - Spring 2004 Advanced Topics in Circuit Design High-Speed Electrical Interfaces. Announcements

EE290C - Spring 2004 Advanced Topics in Circuit Design High-Speed Electrical Interfaces. Announcements EE290C - Spring 04 Advanced Topics in Circuit Design High-Speed Electrical Interfaces Lecture 11 Components Phase-Locked Loops Viterbi Decoder Borivoje Nikolic March 2, 04. Announcements Homework #2 due

More information

ISSN:

ISSN: 507 CMOS Digital-Phase-Locked-Loop for 1 Gbit/s Clock Recovery Circuit KULDEEP THINGBAIJAM 1, CHIRAG SHARMA 2 1 Department of E&CE, Nitte Meenaskhi Institute of Technology, Yelahanka, Bangalore-560064,

More information

A Digital Clock Multiplier for Globally Asynchronous Locally Synchronous Designs

A Digital Clock Multiplier for Globally Asynchronous Locally Synchronous Designs A Digital Clock Multiplier for Globally Asynchronous Locally Synchronous Designs Thomas Olsson, Peter Nilsson, and Mats Torkelson. Dept of Applied Electronics, Lund University. P.O. Box 118, SE-22100,

More information

ECE1352. Term Paper Low Voltage Phase-Locked Loop Design Technique

ECE1352. Term Paper Low Voltage Phase-Locked Loop Design Technique ECE1352 Term Paper Low Voltage Phase-Locked Loop Design Technique Name: Eric Hu Student Number: 982123400 Date: Nov. 14, 2002 Table of Contents Abstract pg. 04 Chapter 1 Introduction.. pg. 04 Chapter 2

More information

Synchronous Mirror Delays. ECG 721 Memory Circuit Design Kevin Buck

Synchronous Mirror Delays. ECG 721 Memory Circuit Design Kevin Buck Synchronous Mirror Delays ECG 721 Memory Circuit Design Kevin Buck 11/25/2015 Introduction A synchronous mirror delay (SMD) is a type of clock generation circuit Unlike DLLs and PLLs an SMD is an open

More information

Optimization of Digitally Controlled Oscillator with Low Power

Optimization of Digitally Controlled Oscillator with Low Power IOSR Journal of VLSI and Signal Processing (IOSR-JVSP) Volume 5, Issue 6, Ver. I (Nov -Dec. 2015), PP 52-57 e-issn: 2319 4200, p-issn No. : 2319 4197 www.iosrjournals.org Optimization of Digitally Controlled

More information

A PROCESS AND TEMPERATURE COMPENSATED RING OSCILLATOR

A PROCESS AND TEMPERATURE COMPENSATED RING OSCILLATOR A PROCESS AND TEMPERATURE COMPENSATED RING OSCILLATOR Yang-Shyung Shyu * and Jiin-Chuan Wu Dept. of Electronics Engineering, National Chiao-Tung University 1001 Ta-Hsueh Road, Hsin-Chu, 300, Taiwan * E-mail:

More information

Energy Efficient and High Speed Charge-Pump Phase Locked Loop

Energy Efficient and High Speed Charge-Pump Phase Locked Loop Energy Efficient and High Speed Charge-Pump Phase Locked Loop Sherin Mary Enosh M.Tech Student, Dept of Electronics and Communication, St. Joseph's College of Engineering and Technology, Palai, India.

More information

A NEW APPROACH TO DESIGN LOW POWER CMOS FLASH A/D CONVERTER

A NEW APPROACH TO DESIGN LOW POWER CMOS FLASH A/D CONVERTER A NEW APPROACH TO DESIGN LOW POWER CMOS FLASH A/D CONVERTER C Mohan¹ and T Ravisekhar 2 ¹M. Tech (VLSI) Student, Sree Vidyanikethan Engineering College (Autonomous), Tirupati, India 2 Assistant Professor,

More information

Lecture 23: PLLs. Office hour on Monday moved to 1-2pm and 3:30-4pm Final exam next Wednesday, in class

Lecture 23: PLLs. Office hour on Monday moved to 1-2pm and 3:30-4pm Final exam next Wednesday, in class EE241 - Spring 2013 Advanced Digital Integrated Circuits Lecture 23: PLLs Announcements Office hour on Monday moved to 1-2pm and 3:30-4pm Final exam next Wednesday, in class Open book open notes Project

More information

A Wide-Range Delay-Locked Loop With a Fixed Latency of One Clock Cycle

A Wide-Range Delay-Locked Loop With a Fixed Latency of One Clock Cycle IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 37, NO. 8, AUGUST 2002 1021 A Wide-Range Delay-Locked Loop With a Fixed Latency of One Clock Cycle Hsiang-Hui Chang, Student Member, IEEE, Jyh-Woei Lin, Ching-Yuan

More information

Design and Implementation of Phase Locked Loop using Current Starved Voltage Controlled Oscillator in GPDK 90nM

Design and Implementation of Phase Locked Loop using Current Starved Voltage Controlled Oscillator in GPDK 90nM International Journal of Advanced Research Foundation Website: www.ijarf.com, Volume 2, Issue 7, July 2015) Design and Implementation of Phase Locked Loop using Starved Voltage Controlled Oscillator in

More information

[Vivekanand*, 4.(12): December, 2015] ISSN: (I2OR), Publication Impact Factor: 3.785

[Vivekanand*, 4.(12): December, 2015] ISSN: (I2OR), Publication Impact Factor: 3.785 IJESRT INTERNATIONAL JOURNAL OF ENGINEERING SCIENCES & RESEARCH TECHNOLOGY DESIGN AND IMPLEMENTATION OF HIGH RELIABLE 6T SRAM CELL V.Vivekanand*, P.Aditya, P.Pavan Kumar * Electronics and Communication

More information

Low-Power Digital CMOS Design: A Survey

Low-Power Digital CMOS Design: A Survey Low-Power Digital CMOS Design: A Survey Krister Landernäs June 4, 2005 Department of Computer Science and Electronics, Mälardalen University Abstract The aim of this document is to provide the reader with

More information

The Use and Design of Synchronous Mirror Delays. Vince DiPuccio ECG 721 Spring 2017

The Use and Design of Synchronous Mirror Delays. Vince DiPuccio ECG 721 Spring 2017 The Use and Design of Synchronous Mirror Delays Vince DiPuccio ECG 721 Spring 2017 Presentation Overview Synchronization circuit Topologies covered in class PLL and DLL pros and cons Synchronous mirror

More information

Study and Implementation of Phase Frequency Detector and Frequency Divider 45nm using CMOS Technology

Study and Implementation of Phase Frequency Detector and Frequency Divider 45nm using CMOS Technology Study and Implementation of Phase Frequency Detector and Frequency Divider 45nm using CMOS Technology Dhaval Modi Electronics and Communication, L. D. College of Engineering, Ahmedabad, India Abstract--This

More information

A New Phase-Locked Loop with High Speed Phase Frequency Detector and Enhanced Lock-in

A New Phase-Locked Loop with High Speed Phase Frequency Detector and Enhanced Lock-in A New Phase-Locked Loop with High Speed Phase Frequency Detector and Enhanced Lock-in HWANG-CHERNG CHOW and NAN-LIANG YEH Department and Graduate Institute of Electronics Engineering Chang Gung University

More information

CHAPTER 6 PHASE LOCKED LOOP ARCHITECTURE FOR ADC

CHAPTER 6 PHASE LOCKED LOOP ARCHITECTURE FOR ADC 138 CHAPTER 6 PHASE LOCKED LOOP ARCHITECTURE FOR ADC 6.1 INTRODUCTION The Clock generator is a circuit that produces the timing or the clock signal for the operation in sequential circuits. The circuit

More information

Phase Locked Loop Design for Fast Phase and Frequency Acquisition

Phase Locked Loop Design for Fast Phase and Frequency Acquisition Phase Locked Loop Design for Fast Phase and Frequency Acquisition S.Anjaneyulu 1,J.Sreepavani 2,K.Pramidapadma 3,N.Varalakshmi 4,S.Triven 5 Lecturer,Dept.of ECE,SKU College of Engg. & Tech.,Ananthapuramu

More information

DESIGN AND VERIFICATION OF ANALOG PHASE LOCKED LOOP CIRCUIT

DESIGN AND VERIFICATION OF ANALOG PHASE LOCKED LOOP CIRCUIT DESIGN AND VERIFICATION OF ANALOG PHASE LOCKED LOOP CIRCUIT PRADEEP G CHAGASHETTI Mr. H.V. RAVISH ARADHYA Department of E&C Department of E&C R.V.COLLEGE of ENGINEERING R.V.COLLEGE of ENGINEERING Bangalore

More information

Index terms: Analog to digital converter, Flash ADC, Pseudo NMOS logic, Pseudo Dynamic CMOS logic multi threshold voltage CMOS inverters.

Index terms: Analog to digital converter, Flash ADC, Pseudo NMOS logic, Pseudo Dynamic CMOS logic multi threshold voltage CMOS inverters. Low Power CMOS Flash ADC C Mohan, T Ravisekhar Abstract The present investigation proposes an efficient low power encoding scheme intended for a flash analog to digital converter. The designing of a thermometer

More information

A Technique for High-Speed, Fine-Resolution Pattern. Generation and its CMOS Implementation. North Carolina State University.

A Technique for High-Speed, Fine-Resolution Pattern. Generation and its CMOS Implementation. North Carolina State University. A Technique for High-Speed, Fine-Resolution Pattern Generation and its CMOS Implementation Gary C. Moyer, Mark Clements, Wentai Liu y,toby Schaer z, Ralph K. Cavin, III Department of Electrical and Computer

More information

DFT for Testing High-Performance Pipelined Circuits with Slow-Speed Testers

DFT for Testing High-Performance Pipelined Circuits with Slow-Speed Testers DFT for Testing High-Performance Pipelined Circuits with Slow-Speed Testers Muhammad Nummer and Manoj Sachdev University of Waterloo, Ontario, Canada mnummer@vlsi.uwaterloo.ca, msachdev@ece.uwaterloo.ca

More information

Delay-based clock generator with edge transmission and reset

Delay-based clock generator with edge transmission and reset LETTER IEICE Electronics Express, Vol.11, No.15, 1 8 Delay-based clock generator with edge transmission and reset Hyunsun Mo and Daejeong Kim a) Department of Electronics Engineering, Graduate School,

More information

NRZ DPLL CMOS Frequency Synthesizer Using Active PI Filter

NRZ DPLL CMOS Frequency Synthesizer Using Active PI Filter NRZ DPLL CMOS Frequency Synthesizer Using Active PI Filter Krishna Kant Singh 1, Akansha Mehrotra 2 Associate Professor, Electronics & Computer Engineering, Dronacharya College of Engineering, Gurgaon,

More information

A Review of Phase Locked Loop Design Using VLSI Technology for Wireless Communication.

A Review of Phase Locked Loop Design Using VLSI Technology for Wireless Communication. A Review of Phase Locked Loop Design Using VLSI Technology for Wireless Communication. PG student, M.E. (VLSI and Embedded system) G.H.Raisoni College of Engineering and Management, A nagar Abstract: The

More information

High Voltage Operational Amplifiers in SOI Technology

High Voltage Operational Amplifiers in SOI Technology High Voltage Operational Amplifiers in SOI Technology Kishore Penmetsa, Kenneth V. Noren, Herbert L. Hess and Kevin M. Buck Department of Electrical Engineering, University of Idaho Abstract This paper

More information

A Monotonic and Low-Power Digitally Controlled Oscillator Using Standard Cells for SoC Applications

A Monotonic and Low-Power Digitally Controlled Oscillator Using Standard Cells for SoC Applications A Monotonic and Low-Power Digitally Controlled Oscillator Using Standard Cells for SoC Applications Duo Sheng, Ching-Che Chung, and Jhih-Ci Lan Department of Electrical Engineering, Fu Jen Catholic University,

More information

Sudatta Mohanty, Madhusmita Panda, Dr Ashis kumar Mal

Sudatta Mohanty, Madhusmita Panda, Dr Ashis kumar Mal International Journal of Scientific & Engineering Research, Volume 5, Issue 5, May-2014 45 Design and Performance Analysis of a Phase Locked Loop using Differential Voltage Controlled Oscillator Sudatta

More information

A Reset-Free Anti-Harmonic Programmable MDLL- Based Frequency Multiplier

A Reset-Free Anti-Harmonic Programmable MDLL- Based Frequency Multiplier JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, OL.13, NO.5, OCTOBER, 2013 http://dx.doi.org/10.5573/jsts.2013.13.5.459 A Reset-Free Anti-Harmonic Programmable MDLL- Based Frequency Multiplier Geontae

More information

Introduction to CMOS RF Integrated Circuits Design

Introduction to CMOS RF Integrated Circuits Design VI. Phase-Locked Loops VI-1 Outline Introduction Basic Feedback Loop Theory Circuit Implementation VI-2 What is a PLL? A PLL is a negative feedback system where an oscillatorgenerated signal is phase and

More information

DESIGN FOR LOW-POWER USING MULTI-PHASE AND MULTI- FREQUENCY CLOCKING

DESIGN FOR LOW-POWER USING MULTI-PHASE AND MULTI- FREQUENCY CLOCKING 3 rd Int. Conf. CiiT, Molika, Dec.12-15, 2002 31 DESIGN FOR LOW-POWER USING MULTI-PHASE AND MULTI- FREQUENCY CLOCKING M. Stojčev, G. Jovanović Faculty of Electronic Engineering, University of Niš Beogradska

More information

Research on Self-biased PLL Technique for High Speed SERDES Chips

Research on Self-biased PLL Technique for High Speed SERDES Chips 3rd International Conference on Machinery, Materials and Information Technology Applications (ICMMITA 2015) Research on Self-biased PLL Technique for High Speed SERDES Chips Meidong Lin a, Zhiping Wen

More information

A Variable-Frequency Parallel I/O Interface with Adaptive Power Supply Regulation

A Variable-Frequency Parallel I/O Interface with Adaptive Power Supply Regulation WA 17.6: A Variable-Frequency Parallel I/O Interface with Adaptive Power Supply Regulation Gu-Yeon Wei, Jaeha Kim, Dean Liu, Stefanos Sidiropoulos 1, Mark Horowitz 1 Computer Systems Laboratory, Stanford

More information

American International Journal of Research in Science, Technology, Engineering & Mathematics

American International Journal of Research in Science, Technology, Engineering & Mathematics American International ournal of Research in Science, Technology, Engineering & Mathematics Available online at http://www.iasir.net ISSN (Print): 2328-3491, ISSN (Online): 2328-3580, ISSN (CD-ROM): 2328-3629

More information

Analysis and Design of a 1GHz PLL for Fast Phase and Frequency Acquisition

Analysis and Design of a 1GHz PLL for Fast Phase and Frequency Acquisition Analysis and Design of a 1GHz PLL for Fast Phase and Frequency Acquisition P. K. Rout, B. P. Panda, D. P. Acharya and G. Panda 1 Department of Electronics and Communication Engineering, School of Electrical

More information

A 2.2GHZ-2.9V CHARGE PUMP PHASE LOCKED LOOP DESIGN AND ANALYSIS

A 2.2GHZ-2.9V CHARGE PUMP PHASE LOCKED LOOP DESIGN AND ANALYSIS A 2.2GHZ-2.9V CHARGE PUMP PHASE LOCKED LOOP DESIGN AND ANALYSIS Diary R. Sulaiman e-mail: diariy@gmail.com Salahaddin University, Engineering College, Electrical Engineering Department Erbil, Iraq Key

More information

A Fast Locking Digital Phase-Locked Loop using Frequency Difference Stage

A Fast Locking Digital Phase-Locked Loop using Frequency Difference Stage International Journal of Engineering & Technology IJET-IJENS Vol:14 No:04 75 A Fast Locking Digital Phase-Locked Loop using Frequency Difference Stage Mohamed A. Ahmed, Heba A. Shawkey, Hamed A. Elsemary,

More information

Dr. K.B.Khanchandani Professor, Dept. of E&TC, SSGMCE, Shegaon, India.

Dr. K.B.Khanchandani Professor, Dept. of E&TC, SSGMCE, Shegaon, India. Design and Implementation of High Performance, Low Dead Zone Phase Frequency Detector in CMOS PLL based Frequency Synthesizer for Wireless Applications Priti N. Metange Asst. Prof., Dept. of E&TC, MET

More information

A Random and Systematic Jitter Suppressed DLL-Based Clock Generator with Effective Negative Feedback Loop

A Random and Systematic Jitter Suppressed DLL-Based Clock Generator with Effective Negative Feedback Loop A Random and Systematic Jitter Suppressed DLL-Based Clock Generator with Effective Negative Feedback Loop Seong-Jin An 1 and Young-Shig Choi 2 Department of Electronic Engineering, Pukyong National University

More information

ISSN: International Journal of Engineering and Innovative Technology (IJEIT) Volume 1, Issue 2, February 2012

ISSN: International Journal of Engineering and Innovative Technology (IJEIT) Volume 1, Issue 2, February 2012 A Performance Comparison of Current Starved VCO and Source Coupled VCO for PLL in 0.18µm CMOS Process Rashmi K Patil, Vrushali G Nasre rashmikpatil@gmail.com, vrushnasre@gmail.com Abstract This paper describes

More information

ECEN620: Network Theory Broadband Circuit Design Fall 2014

ECEN620: Network Theory Broadband Circuit Design Fall 2014 ECEN620: Network Theory Broadband Circuit Design Fall 2014 Lecture 7: Phase Detector Circuits Sam Palermo Analog & Mixed-Signal Center Texas A&M University Announcements & Agenda HW2 is due Oct 6 Exam

More information

ECEN 720 High-Speed Links: Circuits and Systems

ECEN 720 High-Speed Links: Circuits and Systems 1 ECEN 720 High-Speed Links: Circuits and Systems Lab4 Receiver Circuits Objective To learn fundamentals of receiver circuits. Introduction Receivers are used to recover the data stream transmitted by

More information

Phase interpolation technique based on high-speed SERDES chip CDR Meidong Lin, Zhiping Wen, Lei Chen, Xuewu Li

Phase interpolation technique based on high-speed SERDES chip CDR Meidong Lin, Zhiping Wen, Lei Chen, Xuewu Li 5th International Conference on Computer Sciences and Automation Engineering (ICCSAE 2015) Phase interpolation technique based on high-speed SERDES chip CDR Meidong Lin, Zhiping Wen, Lei Chen, Xuewu Li

More information

R 3 V D. V po C 1 PIN 13 PD2 OUTPUT

R 3 V D. V po C 1 PIN 13 PD2 OUTPUT MASSACHUSETTS STITUTE OF TECHNOLOGY Department of Electrical Engineering and Computer Science 6.0 Feedback Systems Spring Term 008 Issued : April, 008 PLL Design Problem Due : Friday, May 9, 008 In this

More information

DESIGN AND ANALYSIS OF PHASE-LOCKED LOOP AND PERFORMANCE PARAMETERS

DESIGN AND ANALYSIS OF PHASE-LOCKED LOOP AND PERFORMANCE PARAMETERS DESIGN AND ANALYSIS OF PHASE-LOCKED LOOP AND PERFORMANCE PARAMETERS Nilesh D. Patel 1, Gunjankumar R. Modi 2, Priyesh P. Gandhi 3, Amisha P. Naik 4 1 Research Scholar, Institute of Technology, Nirma University,

More information

Taheri: A 4-4.8GHz Adaptive Bandwidth, Adaptive Jitter Phase Locked Loop

Taheri: A 4-4.8GHz Adaptive Bandwidth, Adaptive Jitter Phase Locked Loop Engineering, Technology & Applied Science Research Vol. 7, No. 2, 2017, 1473-1477 1473 A 4-4.8GHz Adaptive Bandwidth, Adaptive Jitter Phase Locked Loop Hamidreza Esmaeili Taheri Department of Electronics

More information

Comparison And Performance Analysis Of Phase Frequency Detector With Charge Pump And Voltage Controlled Oscillator For PLL In 180nm Technology

Comparison And Performance Analysis Of Phase Frequency Detector With Charge Pump And Voltage Controlled Oscillator For PLL In 180nm Technology IOSR Journal of VLSI and Signal Processing (IOSR-JVSP) Volume 5, Issue 4, Ver. I (Jul - Aug. 2015), PP 22-30 e-issn: 2319 4200, p-issn No. : 2319 4197 www.iosrjournals.org Comparison And Performance Analysis

More information

DESIGN OF A NOVEL CURRENT BALANCED VOLTAGE CONTROLLED DELAY ELEMENT

DESIGN OF A NOVEL CURRENT BALANCED VOLTAGE CONTROLLED DELAY ELEMENT DESIGN OF A NOVEL CURRENT BALANCED VOLTAGE CONTROLLED DELAY ELEMENT Pooja Saxena 1, Sudheer K. M 2, V. B. Chandratre 2 1 Homi Bhabha National Institute, Mumbai 400094 2 Electronics Division, Bhabha Atomic

More information

Design of Phase Locked Loop as a Frequency Synthesizer Muttappa 1 Akalpita L Kulkarni 2

Design of Phase Locked Loop as a Frequency Synthesizer Muttappa 1 Akalpita L Kulkarni 2 IJSRD - International Journal for Scientific Research & Development Vol. 3, Issue 03, 2015 ISSN (online): 2321-0613 Design of Phase Locked Loop as a Frequency Synthesizer Muttappa 1 Akalpita L Kulkarni

More information

A Robust Oscillator for Embedded System without External Crystal

A Robust Oscillator for Embedded System without External Crystal Appl. Math. Inf. Sci. 9, No. 1L, 73-80 (2015) 73 Applied Mathematics & Information Sciences An International Journal http://dx.doi.org/10.12785/amis/091l09 A Robust Oscillator for Embedded System without

More information

Low Power CMOS Digitally Controlled Oscillator Manoj Kumar #1, Sandeep K. Arya #2, Sujata Pandey* 3 and Timsi #4

Low Power CMOS Digitally Controlled Oscillator Manoj Kumar #1, Sandeep K. Arya #2, Sujata Pandey* 3 and Timsi #4 Low CMOS Digitally Controlled Oscillator Manoj Kumar #1, Sandeep K. Arya #2, Sujata Pandey* 3 and Timsi #4 # Department of Electronics & Communication Engineering Guru Jambheshwar University of Science

More information

Available online at ScienceDirect. International Conference On DESIGN AND MANUFACTURING, IConDM 2013

Available online at  ScienceDirect. International Conference On DESIGN AND MANUFACTURING, IConDM 2013 Available online at www.sciencedirect.com ScienceDirect Procedia Engineering 64 ( 2013 ) 377 384 International Conference On DESIGN AND MANUFACTURING, IConDM 2013 A Novel Phase Frequency Detector for a

More information

ECEN 720 High-Speed Links Circuits and Systems

ECEN 720 High-Speed Links Circuits and Systems 1 ECEN 720 High-Speed Links Circuits and Systems Lab4 Receiver Circuits Objective To learn fundamentals of receiver circuits. Introduction Receivers are used to recover the data stream transmitted by transmitters.

More information

ECEN720: High-Speed Links Circuits and Systems Spring 2017

ECEN720: High-Speed Links Circuits and Systems Spring 2017 ECEN720: High-Speed Links Circuits and Systems Spring 2017 Lecture 12: CDRs Sam Palermo Analog & Mixed-Signal Center Texas A&M University Announcements Project Preliminary Report #2 due Apr. 20 Expand

More information

Analysis of phase Locked Loop using Ring Voltage Controlled Oscillator

Analysis of phase Locked Loop using Ring Voltage Controlled Oscillator Analysis of phase Locked Loop using Ring Voltage Controlled Oscillator Abhishek Mishra Department of electronics &communication, suresh gyan vihar university Mahal jagatpura, jaipur (raj.), india Abstract-There

More information

Designing of Charge Pump for Fast-Locking and Low-Power PLL

Designing of Charge Pump for Fast-Locking and Low-Power PLL Designing of Charge Pump for Fast-Locking and Low-Power PLL Swati Kasht, Sanjay Jaiswal, Dheeraj Jain, Kumkum Verma, Arushi Somani Abstract The specific property of fast locking of PLL is required in many

More information

A Low-Power 12 Transistor Full Adder Design using 3 Transistor XOR Gates

A Low-Power 12 Transistor Full Adder Design using 3 Transistor XOR Gates A Low-Power 12 Transistor Full Adder Design using 3 Transistor XOR Gates Anil Kumar 1 Kuldeep Singh 2 Student Assistant Professor Department of Electronics and Communication Engineering Guru Jambheshwar

More information

ECEN689: Special Topics in High-Speed Links Circuits and Systems Spring 2010

ECEN689: Special Topics in High-Speed Links Circuits and Systems Spring 2010 ECEN689: Special Topics in High-Speed Links Circuits and Systems Spring 010 Lecture 7: PLL Circuits Sam Palermo Analog & Mixed-Signal Center Texas A&M University Announcements Project Preliminary Report

More information

ELEC Digital Logic Circuits Fall 2015 Delay and Power

ELEC Digital Logic Circuits Fall 2015 Delay and Power ELEC - Digital Logic Circuits Fall 5 Delay and Power Vishwani D. Agrawal James J. Danaher Professor Department of Electrical and Computer Engineering Auburn University, Auburn, AL 36849 http://www.eng.auburn.edu/~vagrawal

More information

IN the face of shrinking feature size, one of the major

IN the face of shrinking feature size, one of the major 1 An Analysis of Injection Locked Clocking with Ring Oscillators Suchit Bhattarai and Rachel Nancollas Abstract In the recent years, injection locked clocking (ILC has been proposed as a solution to the

More information

Design of High-Speed Op-Amps for Signal Processing

Design of High-Speed Op-Amps for Signal Processing Design of High-Speed Op-Amps for Signal Processing R. Jacob (Jake) Baker, PhD, PE Professor and Chair Boise State University 1910 University Dr. Boise, ID 83725-2075 jbaker@ieee.org Abstract - As CMOS

More information

An Area-efficient DLL based on a Merged Synchronous Mirror Delay Structure for Duty Cycle Correction

An Area-efficient DLL based on a Merged Synchronous Mirror Delay Structure for Duty Cycle Correction Proceedings of the 6th WSEAS Int. Conf. on Electronics, Hardware, Wireless and Optical Communications, Corfu Island, Greece, February 16-19, 2007 203 An Area-efficient DLL based on a Merged Synchronous

More information

University of Michigan, EECS413 Final project. A High Speed Operational Amplifier. 1. A High Speed Operational Amplifier

University of Michigan, EECS413 Final project. A High Speed Operational Amplifier. 1. A High Speed Operational Amplifier University of Michigan, EECS413 Final project. A High Speed Operational Amplifier. 1 A High Speed Operational Amplifier A. Halim El-Saadi, Mohammed El-Tanani, University of Michigan Abstract This paper

More information

Lecture 160 Examples of CDR Circuits in CMOS (09/04/03) Page 160-1

Lecture 160 Examples of CDR Circuits in CMOS (09/04/03) Page 160-1 Lecture 160 Examples of CDR Circuits in CMOS (09/04/03) Page 160-1 LECTURE 160 CDR EXAMPLES INTRODUCTION Objective The objective of this presentation is: 1.) Show two examples of clock and data recovery

More information

DLL Based Frequency Multiplier

DLL Based Frequency Multiplier DLL Based Frequency Multiplier Final Project Report VLSI Chip Design Project Project Group 4 Version 1.0 Status Reviewed Approved Ameya Bhide Ameya Bhide TSEK06 VLSI Design Project 1 of 29 Group 4 PROJECT

More information

CMOS Design of Wideband Inductor-Less LNA

CMOS Design of Wideband Inductor-Less LNA IOSR Journal of VLSI and Signal Processing (IOSR-JVSP) Volume 8, Issue 3, Ver. I (May.-June. 2018), PP 25-30 e-issn: 2319 4200, p-issn No. : 2319 4197 www.iosrjournals.org CMOS Design of Wideband Inductor-Less

More information

UMAINE ECE Morse Code ROM and Transmitter at ISM Band Frequency

UMAINE ECE Morse Code ROM and Transmitter at ISM Band Frequency UMAINE ECE Morse Code ROM and Transmitter at ISM Band Frequency Jamie E. Reinhold December 15, 2011 Abstract The design, simulation and layout of a UMAINE ECE Morse code Read Only Memory and transmitter

More information

ECEN689: Special Topics in High-Speed Links Circuits and Systems Spring 2012

ECEN689: Special Topics in High-Speed Links Circuits and Systems Spring 2012 ECEN689: Special Topics in High-Speed Links Circuits and Systems Spring 2012 Lecture 5: Termination, TX Driver, & Multiplexer Circuits Sam Palermo Analog & Mixed-Signal Center Texas A&M University Announcements

More information

A single-slope 80MS/s ADC using two-step time-to-digital conversion

A single-slope 80MS/s ADC using two-step time-to-digital conversion A single-slope 80MS/s ADC using two-step time-to-digital conversion The MIT Faculty has made this article openly available. Please share how this access benefits you. Your story matters. Citation As Published

More information

DLL Based Clock Generator with Low Power and High Speed Frequency Multiplier

DLL Based Clock Generator with Low Power and High Speed Frequency Multiplier DLL Based Clock Generator with Low Power and High Speed Frequency Multiplier Thutivaka Vasudeepthi 1, P.Malarvezhi 2 and R.Dayana 3 1-3 Department of ECE, SRM University SRM Nagar, Kattankulathur, Kancheepuram

More information

Academic Course Description

Academic Course Description Academic Course Description SRM University Faculty of Engineering and Technology Department of Electronics and Communication Engineering VL2107 CMOS Mixed Signal Circuit Design Third Semester, 2014-15

More information

Academic Course Description. VL2107 CMOS Mixed Signal Circuit Design Third Semester, (Odd semester)

Academic Course Description. VL2107 CMOS Mixed Signal Circuit Design Third Semester, (Odd semester) Academic Course Description SRM University Faculty of Engineering and Technology Department of Electronics and Communication Engineering VL2107 CMOS Mixed Signal Circuit Design Third Semester, 2014-15

More information

Design of Low Power CMOS Startup Charge Pump Based on Body Biasing Technique

Design of Low Power CMOS Startup Charge Pump Based on Body Biasing Technique Design of Low Power CMOS Startup Charge Pump Based on Body Biasing Technique Juliet Abraham 1, Dr. B. Paulchamy 2 1 PG Scholar, Hindusthan institute of Technology, coimbtore-32, India 2 Professor and HOD,

More information

DESIGN OF ADIABATIC LOGIC BASED COMPARATOR FOR LOW POWER AND HIGH SPEED APPLICATIONS

DESIGN OF ADIABATIC LOGIC BASED COMPARATOR FOR LOW POWER AND HIGH SPEED APPLICATIONS DOI: 10.21917/ijme.2017.064 DESIGN OF ADIABATIC LOGIC FOR LOW POWER AND HIGH SPEED APPLICATIONS T.S. Arun Samuel 1, S. Darwin 2 and N. Arumugam 3 1,3 Department of Electronics and Communication Engineering,

More information

EE 434 ASIC & Digital Systems

EE 434 ASIC & Digital Systems EE 434 ASIC & Digital Systems Dae Hyun Kim EECS Washington State University Spring 2017 Course Website http://eecs.wsu.edu/~ee434 Themes Study how to design, analyze, and test a complex applicationspecific

More information

A New Approach for Op-amp based VCO Design Using 0.18um CMOS Technology

A New Approach for Op-amp based VCO Design Using 0.18um CMOS Technology International Journal of Industrial Electronics and Control. ISSN 0974-2220 Volume 6, Number 1 (2014), pp. 1-5 International Research Publication House http://www.irphouse.com A New Approach for Op-amp

More information

Low Power Adiabatic Logic Design

Low Power Adiabatic Logic Design IOSR Journal of Electronics and Communication Engineering (IOSR-JECE) e-issn: 2278-2834,p- ISSN: 2278-8735.Volume 12, Issue 1, Ver. III (Jan.-Feb. 2017), PP 28-34 www.iosrjournals.org Low Power Adiabatic

More information

A Low-Jitter Phase-Locked Loop Based on a Charge Pump Using a Current-Bypass Technique

A Low-Jitter Phase-Locked Loop Based on a Charge Pump Using a Current-Bypass Technique JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.14, NO.3, JUNE, 2014 http://dx.doi.org/10.5573/jsts.2014.14.3.331 A Low-Jitter Phase-Locked Loop Based on a Charge Pump Using a Current-Bypass Technique

More information

DESIGN AND ANALYSIS OF PHASE FREQUENCY DETECTOR USING D FLIP-FLOP FOR PLL APPLICATION

DESIGN AND ANALYSIS OF PHASE FREQUENCY DETECTOR USING D FLIP-FLOP FOR PLL APPLICATION International Journal of Electronics Engineering Research. ISSN 0975-6450 Volume 9, Number 9 (2017) pp. 1389-1395 Research India Publications http://www.ripublication.com DESIGN AND ANALYSIS OF PHASE FREQUENCY

More information

A wide-range all-digital duty-cycle corrector with output clock phase alignment in 65 nm CMOS technology

A wide-range all-digital duty-cycle corrector with output clock phase alignment in 65 nm CMOS technology A wide-range all-digital duty-cycle corrector with output clock phase alignment in 65 nm CMOS technology Ching-Che Chung 1a), Duo Sheng 2, and Sung-En Shen 1 1 Department of Computer Science & Information

More information

LETTER A 1.25-Gb/s Burst-Mode Half-Rate Clock and Data Recovery Circuit Using Realigned Oscillation

LETTER A 1.25-Gb/s Burst-Mode Half-Rate Clock and Data Recovery Circuit Using Realigned Oscillation 196 LETTER A 1.25-Gb/s Burst-Mode Half-Rate Clock and Data Recovery Circuit Using Realigned Oscillation Ching-Yuan YANG a), Member and Jung-Mao LIN, Nonmember SUMMARY In this letter, a 1.25-Gb/s 0.18-µm

More information

Design of CMOS Phase Locked Loop

Design of CMOS Phase Locked Loop 2018 IJSRST Volume 4 Issue 2 Print ISSN: 2395-6011 Online ISSN: 2395-602X Themed Section: Science and Technology Design of CMOS Phase Locked Loop Kaviyadharshini Sivaraman PG Scholar, Department of Electrical

More information

Implementation of Carry Select Adder using CMOS Full Adder

Implementation of Carry Select Adder using CMOS Full Adder Implementation of Carry Select Adder using CMOS Full Adder Smitashree.Mohapatra Assistant professor,ece department MVSR Engineering College Nadergul,Hyderabad-510501 R. VaibhavKumar PG Scholar, ECE department(es&vlsid)

More information

Simulation technique for noise and timing jitter in phase locked loop

Simulation technique for noise and timing jitter in phase locked loop Simulation technique for noise and timing jitter in phase locked loop A.A TELBA, Assistant, EE dept. Fac. of Eng.King Saud University, Atelba@ksu.edu.sa J.M NORA, Associated Professor,University of Bradford,

More information

nmos, pmos - Enhancement and depletion MOSFET, threshold voltage, body effect

nmos, pmos - Enhancement and depletion MOSFET, threshold voltage, body effect COURSE DELIVERY PLAN - THEORY Page! 1 of! 7 Department of Electronics and Communication Engineering B.E/B.Tech/M.E/M.Tech : EC Regulation: 2016(Autonomous) PG Specialization : Not Applicable Sub. Code

More information

CMOS Instrumentation Amplifier with Offset Cancellation Circuitry for Biomedical Application

CMOS Instrumentation Amplifier with Offset Cancellation Circuitry for Biomedical Application CMOS Instrumentation Amplifier with Offset Cancellation Circuitry for Biomedical Application Author Mohd-Yasin, Faisal, Yap, M., I Reaz, M. Published 2006 Conference Title 5th WSEAS Int. Conference on

More information

Active GHz Clock Network Using Distributed PLLs

Active GHz Clock Network Using Distributed PLLs IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 35, NO. 11, NOVEMBER 2000 1553 Active GHz Clock Network Using Distributed PLLs Vadim Gutnik, Member, IEEE, and Anantha P. Chandrakasan, Member, IEEE Abstract

More information