Analyzing Metrics of ALU Designs Traversing from Years 2002 to 2015

Size: px
Start display at page:

Download "Analyzing Metrics of ALU Designs Traversing from Years 2002 to 2015"

Transcription

1 Analyzing Metrics of ALU Designs Traversing from Years 2002 to 2015 Brianna V. Thomason Department of Electrical and Computer Engineering University of Central Flida Orlando, FL Abstract In the following paper, ten architecture papers are compared, spanning from the years 2002 to Each has implemented a unique arithmetic logic unit design using fundamental metrics, such as adders, multipliers and floating points, which are examined in this paper. The designers also discuss how power consumption and energy efficiency are effected, which are compared in Table 1, and why they are imptant to consider when implementing a new design. Clock rate, how many clock cycles a CPU can perfm per second, and supply voltage, the voltage between the input and output, are a few other metrics that are compared to one another in the table. Keywds ALU, Power Consumption, Supply Voltage, ITRS Node, Execution Time, CPU, Energy Efficiency, Clock Cycle I. INTRODUCTION One of the main components of the computer s central processing unit (CPU) is the arithmetic logic unit (ALU). The ALU is an intricate electronic circuit perfming arithmetic and bitwise logical operations on the operands, loading the data from registers. As shown in figure 1, the datapath of the ALU includes the inputs and output registers. The control path going in contains the function, while the control path going out includes any flag bits, comprising of the zero and overflow. The inside of the ALU is comprised of the essential logic gates which perfm addition, subtraction and multiplication operations and any Boolean instructions. The process executes a large set of instructions. These instructions tell the CPU which type of arithmetic logical calculations the ALU will execute. Furtherme, they tell the CPU the locations of the data and where to ste the results. The registers provide the sources of the data needed to execute the calculations and the destination f these results. The data bus sends and receives data from the memy. Occasionally, CPUs will have a data bus width that is narrower than the ALU width, which minimizes the cost of the chip. Although energy and power are distinctive from each other, they are interconnected. The process in which the CPU consumes energy results in power dissipation. The goal f engineers is to design processs that use less power and preserve energy which will reduce total cost and be better f the environment. Effective ways to reduce power dissipation would be to decrease the clock rate, lessen voltage, even use multiple and slower ces in the design. The following equations are used to determine the CPU s execution time, power dissipation and energy consumption: (1) CPU Time = Instruction Count * CPI * Clock Cycle Time (2) Power = Capacitance * Voltage 2 * Frequency (3) Energy = Power * Running Time (4) Energy Efficiency = Process Throughput / Energy Consumed The International Technology Roadmap f Semiconducts, ITRS, is a fifteen year valuation of the requirements f the future semiconduct engineering s technology. Moe s Law states that the amount of transists will double nearly every two years. The trend is expected to end around the year 2022, when the ITRS node will reach to 5 nanometers. There are ten arithmetic logic unit designs, spanning from the year 2002 to 2015, that are reviewed in Section II, each with a unique implementation. The auths discuss their projects in terms of energy, power and ITRS technology. The following section compares and contrasts their research and designs. Fig. 1 Design of the Arithmetic Logic Unit Page 1 of 5

2 II. LITERATURE REVIEW In 2015, Soheil Salehi et al. examined power consumption and cell area of an IEEE-754 Single Precision Floating-Point Unit (Fig. 2) in a 15nm and 45nm Complementary Metal-Oxide Semiconduct (CMOS) technology. He used power consumption and cell area to compare the two technologies. His results revealed that when using the 15nm technology, it had four times less energy and a supply voltage of 0.8V, while the 45nm had a supply voltage of 1.1V (Fig. 5) [1]. Fig. 2 FPU Functional Elements [1] In 2015, Arman Roohi et al. designed a Quantum-Dot Cellular Automata (QCA) full adder. When analyzing the aspects of latency, complexity and area, the results revealed these were advantages of the proposed full adder when compared to preceding designs [2]. In 2014, Mohammed Alawad et al. presented a highperfmance reconfigurable discrete convolver specifically designed f FPGA-based image and video processs. Whereas the typical multiplier-based design can attain a runtime of O(n^2), the most significant benefit of this proposed design is that it can achieve approximately O(n) in algithmic convolution, therefe being me scalable and energy efficient [3]. In 2013, Naveed Imran et al. proposed an active dynamic redundancy-based fault-handling approach exploiting the partial dynamic reconfiguration capability of static random-access memy-based field-programmable gate arrays. The experimental testing of the FaDReS algithm exhibited valuable results f fault-handling situations [4]. In 2013, Bishwajeet Pandey et al. used latch-free clock gating techniques, applied in the ALU and implemented on the 90nm Spartan-3, to reduce clock power and dynamic power consumption (Fig. 5). Although the clock gating techniques increase area, they also reduce clock and power consumption of the overall design. [7]. In 2009, Jian Huang et al. proposed a field programmable gate array-based scalable architecture f discrete cosine transfm (DCT) computation using FPGA dynamic partial reconfiguration. The auths have analyzed certain specifications of their proposed design such as power consumption, processing clock cycle and reconfiguration overhead and provided the detailed trade-offs. The power was found to have a clock rate of 41.79MHz (Fig. 6). Low precision implementation with reduced ROM size can be beneficial in terms of hardware and power consumption. [5]. In 2009, M. Ramalatha proved the efficiency of the Urdhva Triyagbhyam-Vedic method f multiplication which strikes a difference in the actual process of multiplication itself. The complexity, execution time, area and power are reduced by utilizing the techniques in the computation algithms of the coprocess, which is used to build a high speed power efficient multiplier [6]. In 2009, Kui YI et al. analyzed structure and algithm of the Floating-Point ALU, implementing multiplication and division operations. The Floating-Point number is suppted by the Floating-Point multiplication and division ALU, which is IEEE- 754 standard. The result proves that the Floating-Point number realizes the expectant function. This ALU assumes a 4-Level pipelining structure, with each step acting as a single module. The pipelining structure implements each parallel operation and improves the system perfmance [9]. In 2004, Bhaskar Chatterjee et al. presented a high perfmance 32-bit ALU with low power applications, which minimized total energy. This was implemented in the 180nm- 65nm CMOS technologies. The results concluded that it is possible to reduce the ALU total energy by 18-24% with little delay and reduction in power leakage [10]. In 2002, A. Srivastava et al. designed a high-speed 4-bit ALU (Fig. 4), incpating a ripple carry adder into the design (Fig. 3), to show the effectiveness of the Back-Gate Fward Substrate Bias (BGFSB) method in 1.2 um N-well CMOS technology. It has been emphasized f its low-voltage and highspeed applications [8]. Fig. 3 Block diagram of a 4-bit ripple carry adder showing wst case delay [3]

3 III. DATA ANALYSIS Fig. 4 The number of bit operands in the designs of the indicated papers. Fig. 7 The supply voltage of each design f the indicated papers. IV. CONCLUSION After comparing the ten arithmetic logic unit designs, spanning from the year 2002 to 2015, each with a unique implementation, there are several design metrics that were related. Each has various design types including types of adders, multipliers and floating points. The auths discuss their projects in terms of energy, power and ITRS technology nodes, while this paper also compares the clock rates and supply voltages of each. Fig. 5 Power consumption (mw) in the desgins of the indicated papers. Fig. 6 Clock rate of the designs in the indicated papers. REFERENCES [1] S. Salehi, and R. F. DeMara, "Energy and Area Analysis of a Floating- Point Unit in 15nm CMOS Process Technology," in Proceedings of IEEE SoutheastCon 2015 (SECon-2015), Ft Lauderdale, FL, April 9-12, [2] A. Roohi, R. F. DeMara, and N. Khoshavi, "Design and Evaluation of an Ultra-Area-Efficient Fault-Tolerant QCA Full," Microelectronics Journal, Vol. 46, No. 6, pp , June 2015, [3] M. Alawad, Y. Bai, R. F. DeMara, and M. Lin, Energy-Efficient -Less Discrete Convolver through Probabilistic Domain Transfmation, in Proceedings of 22nd ACM/SIGDA International Symposium on Field-Programmable Gate Arrays (FPGA-14), pp , Monterey, Califnia, USA, February 27-28, [4] N. Imran, J. Lee and R. F. DeMara, "Fault Demotion Using Reconfigurable Slack (FaDReS)," IEEE Transactions on Very Large Scale Integration (VLSI) Systems, vol.21, no.7, pp , July [5] J. Huang, M. Parris, J. Lee, and R. F. DeMara, "Scalable FPGA-based Architecture f DCT Computation Using Dynamic Partial Reconfiguration," ACM Transactions on Embedded Computing Systems, Vol. 9, No. 1, Art. 9, pp. 1 18, October, [6] Ramalatha, M.; Dayalan, K.D.; Dharani, P.; Priya, S.D., "High speed energy efficient ALU design using Vedic multiplication techniques," Advances in Computational Tools f Engineering Applications, ACTEA '09. International Conference on, vol., no., pp.600,603, July [7] Pandey, B.; Yadav, J.; Pattanaik, M.; Rajia, N., "Clock gating based energy efficient ALU design and implementation on FPGA," Energy Efficient Technologies f Sustainability (ICEETS), 2013 International Conference on, vol., no., pp.93,97, April [8] A. Srivastava and D. Govindarajan, A Fast ALU Design in CMOS f Low Voltage Operation, VLSI Design, vol. 14, no. 4, pp , 2002.

4 [9] Kui Yi; Yue-Hua Ding, "32 bit Multiplication and Division ALU Design Based on RISC Structure," Artificial Intelligence, JCAI '09. International Joint Conference on, vol., no., pp.761,764, April [10] Bhaskar Chatterjee, Manoj Sachdev, and Ram Krishnamurthy, A CPLbased dual supply 32-bit ALU f sub 180nm CMOS technologies, In Proceedings of the international symposium on Low power electronics and design (ISLPED '04). ACM, pp , New Yk, NY, USA, 2004.

5 TABLE I. COMPARISON OF 10 ARITHMETIC LOGIC UNIT DESIGNS ALU Floating Point Architecture Name Energy and Area Analysis of a Floating- Point Unit [1] Design and Evaluation of an Ultra-Area- Efficient Fault-Tolerant QCA Full [2] Energy-Efficient -Less Discrete Convolver through Probabilistic Domain Transfmation [3] Datapath width (bits) #bits in operands Time f Operation Design Type 32 bits 1 bit Ultra-areaefficient fault-tolerant QCA full adder 128 bits Floating Point IEEE-754 Single Precision ITRS Technology Node (nm) Area Model of Chip used 45 nm and 15 nm (ITRS Technology) Energy/Power Consumption(W J) else indicate low high mw (45nm) mw (15nm) Clock Rate Clock Frequency 200 MHz Supply Voltage 1.1 V (45 nm) 0.8 V (15 nm) 18 nm 2 (area) Low 4.09 μs Energy- Efficient 40 nm Virtex-6 FPGA devices (XC6VLX550t) nj 250 MHz 1.0 V Fault Demotion Using Rreconfigurable Slack (FaDRes) [4] 32 bits DSP48 90 nm Virtex-4 FX60 FPGA 1541 mw 108 MHz 1.2 V Scalable FPGA-based Architeture f DCT Computation Using Dynamic Partial Reconfiguration [5] High Speed Energy Efficient ALU Design using Vedic Multiplication Techniques [6] Clock Gating Based Energy Efficient ALU Design and Implementation on FPGA [7] Adaptive 1 to 8 bits Adaptive 8 to 64 bits 8 bits Reconfigurable PE f DCT using ROM Shifters (RS) Carry Out and Carry In s 15ns 45ns Optimized Vedic 90 nm Virtex-4 SX35 FPGA mw MHz (power) 100 MHz (SelectMap) 1.2 V Vedic MAC unit Low 90 nm Spartan-3 FPGA mw (1 THz) 2433 mw (100 GHz) 36 mw (1 GHz) 3 mw (100 MHz) 1 THz 100 GHz 1 GHz 100 MHz 1.2 V A Fast ALU Design in CMOS f Low Voltage Operation [8] 4 bits Ripple Carry 1200 nm N-well CMOS Low 0.5 MHz 1 V 32-bit Multiplication and Division ALU Design Based on RISC Structure [9] 32 bits RISC Structure IEEE-754 Standard GW48 EDA system A CPL-Based Dual Supply 32-bit ALU f Sub 180nm CMOS Technologies [10] 32 bits Propgate- Generate Unit 180 nm 65 nm CMOS MUX Reduction in Energy 18-24% 4.2 GHz V

<Explanation of Improved the Quality of ALU And Ten Different Types of Designs for Decreasing Power Dissipation>

<Explanation of Improved the Quality of ALU And Ten Different Types of Designs for Decreasing Power Dissipation> Jihang Li Department of Electrical and Computer Engineering University of Central Flida Orlando,

More information

The Metrics and Designs of an Arithmetic Logic Function over

The Metrics and Designs of an Arithmetic Logic Function over The Metrics and Designs of an Arithmetic Logic Function over 2002-2015 Jimmy Vallejo Department of Electrical and Computer Engineering University of Central Flida Orlando, FL 32816-2362 Abstract There

More information

Energy, Time, and Space Complexity Analysis of ALU Designs Spanning from 2000 to the Present

Energy, Time, and Space Complexity Analysis of ALU Designs Spanning from 2000 to the Present Energy, Time, and Space Complexity Analysis of ALU Designs Spanning from 2 to the Present Brittney Fry Department of Electrical and Computer Engineering University of Central Flida Orlando, FL 32816-2362

More information

A Scientific Insight to Exemplary ALU s, Floating Point Designs, and Effective Processing Units

A Scientific Insight to Exemplary ALU s, Floating Point Designs, and Effective Processing Units A Scientific Insight to Exemplary ALU s, Floating Point Designs, and Effective Processing Units Ben Farris Department of Electrical and Computer Engineering University of Central Flida Orlando, FL 32816-2362

More information

The Configurations and Implementations of Different Adders and Multipliers in ALUs throughout the Past Decade

The Configurations and Implementations of Different Adders and Multipliers in ALUs throughout the Past Decade The Configurations and Implementations of Different Adders and Multipliers in ALUs throughout the Past Decade Patrick Schexnayder Department of Electrical and Computer Engineering University of Central

More information

ALU Floating Point Design: An Exploration of Advancement

ALU Floating Point Design: An Exploration of Advancement ALU Floating Point Design: An Explation of Advancement Kyle Thatcher Department of Electrical and Computer Engineering University of Central Flida Orlando, FL 32816-2362 Abstract As time progresses technology

More information

A Need for Speed with Reduced Power: An ideological look at how ALUs have Improved Over Time

A Need for Speed with Reduced Power: An ideological look at how ALUs have Improved Over Time A Need f Speed with Reduced Power: An ideological look at how s have Improved Over Time Zachary Bischoff Department of Electrical and Computer Engineering University of Central Flida Orlando, FL 32816-2362

More information

A Study of The Advancement of CMOS ALU & Full Adder Circuit Design For Modern Design

A Study of The Advancement of CMOS ALU & Full Adder Circuit Design For Modern Design A Study of The Advancement of & Full Adder Circuit Design F Modern Design Bruce Hardy BR759875 Department of Electrical and Computer Engineering University of Central Flida Orlando, FL 32816-2362 Abstract

More information

An Analysis of Full Adders and Floating Point Units: Optimization using beyond CMOS Technology

An Analysis of Full Adders and Floating Point Units: Optimization using beyond CMOS Technology An Analysis of Full Adders and Floating Point Units: Optimization using beyond Technology Stephen Hudson Department of Electrical and Computer Engineering University of Central Flida Orlando, FL 32816-2362

More information

ASIC Implementation of High Speed Area Efficient Arithmetic Unit using GDI based Vedic Multiplier

ASIC Implementation of High Speed Area Efficient Arithmetic Unit using GDI based Vedic Multiplier INTERNATIONAL JOURNAL OF APPLIED RESEARCH AND TECHNOLOGY ISSN 2519-5115 RESEARCH ARTICLE ASIC Implementation of High Speed Area Efficient Arithmetic Unit using GDI based Vedic Multiplier 1 M. Sangeetha

More information

Sno Projects List IEEE. High - Throughput Finite Field Multipliers Using Redundant Basis For FPGA And ASIC Implementations

Sno Projects List IEEE. High - Throughput Finite Field Multipliers Using Redundant Basis For FPGA And ASIC Implementations Sno Projects List IEEE 1 High - Throughput Finite Field Multipliers Using Redundant Basis For FPGA And ASIC Implementations 2 A Generalized Algorithm And Reconfigurable Architecture For Efficient And Scalable

More information

Low-Power Multipliers with Data Wordlength Reduction

Low-Power Multipliers with Data Wordlength Reduction Low-Power Multipliers with Data Wordlength Reduction Kyungtae Han, Brian L. Evans, and Earl E. Swartzlander, Jr. Dept. of Electrical and Computer Engineering The University of Texas at Austin Austin, TX

More information

Field Programmable Gate Arrays based Design, Implementation and Delay Study of Braun s Multipliers

Field Programmable Gate Arrays based Design, Implementation and Delay Study of Braun s Multipliers Journal of Computer Science 7 (12): 1894-1899, 2011 ISSN 1549-3636 2011 Science Publications Field Programmable Gate Arrays based Design, Implementation and Delay Study of Braun s Multipliers Muhammad

More information

Power Efficient Optimized Arithmetic and Logic Unit Design on FPGA

Power Efficient Optimized Arithmetic and Logic Unit Design on FPGA From the SelectedWorks of Innovative Research Publications IRP India Winter December 1, 2014 Power Efficient Optimized Arithmetic and Logic Unit Design on FPGA Innovative Research Publications, IRP India,

More information

Technology Timeline. Transistors ICs (General) SRAMs & DRAMs Microprocessors SPLDs CPLDs ASICs. FPGAs. The Design Warrior s Guide to.

Technology Timeline. Transistors ICs (General) SRAMs & DRAMs Microprocessors SPLDs CPLDs ASICs. FPGAs. The Design Warrior s Guide to. FPGAs 1 CMPE 415 Technology Timeline 1945 1950 1955 1960 1965 1970 1975 1980 1985 1990 1995 2000 Transistors ICs (General) SRAMs & DRAMs Microprocessors SPLDs CPLDs ASICs FPGAs The Design Warrior s Guide

More information

HIGH PERFORMANCE BAUGH WOOLEY MULTIPLIER USING CARRY SKIP ADDER STRUCTURE

HIGH PERFORMANCE BAUGH WOOLEY MULTIPLIER USING CARRY SKIP ADDER STRUCTURE HIGH PERFORMANCE BAUGH WOOLEY MULTIPLIER USING CARRY SKIP ADDER STRUCTURE R.ARUN SEKAR 1 B.GOPINATH 2 1Department Of Electronics And Communication Engineering, Assistant Professor, SNS College Of Technology,

More information

Research Article Design of a Novel Optimized MAC Unit using Modified Fault Tolerant Vedic Multiplier

Research Article Design of a Novel Optimized MAC Unit using Modified Fault Tolerant Vedic Multiplier Research Journal of Applied Sciences, Engineering and Technology 8(7): 900-906, 2014 DOI:10.19026/rjaset.8.1051 ISSN: 2040-7459; e-issn: 2040-7467 2014 Maxwell Scientific Publication Corp. Submitted: June

More information

SPIRO SOLUTIONS PVT LTD

SPIRO SOLUTIONS PVT LTD VLSI S.NO PROJECT CODE TITLE YEAR ANALOG AMS(TANNER EDA) 01 ITVL01 20-Mb/s GFSK Modulator Based on 3.6-GHz Hybrid PLL With 3-b DCO Nonlinearity Calibration and Independent Delay Mismatch Control 02 ITVL02

More information

Globally Asynchronous Locally Synchronous (GALS) Microprogrammed Parallel FIR Filter

Globally Asynchronous Locally Synchronous (GALS) Microprogrammed Parallel FIR Filter IOSR Journal of VLSI and Signal Processing (IOSR-JVSP) Volume 6, Issue 5, Ver. II (Sep. - Oct. 2016), PP 15-21 e-issn: 2319 4200, p-issn No. : 2319 4197 www.iosrjournals.org Globally Asynchronous Locally

More information

Webpage: Volume 3, Issue V, May 2015 ISSN

Webpage:  Volume 3, Issue V, May 2015 ISSN Design of power efficient 8 bit arithmetic and logic unit on FPGA using tri-state logic Siddharth Singh Parihar 1, Rajani Gupta 2 1 Kailash Narayan Patidar College of Science and Technology, Baghmugaliya,

More information

MACGDI: Low Power MAC Based Filter Bank Using GDI Logic for Hearing Aid Applications

MACGDI: Low Power MAC Based Filter Bank Using GDI Logic for Hearing Aid Applications International Journal of Electronics and Electrical Engineering Vol. 5, No. 3, June 2017 MACGDI: Low MAC Based Filter Bank Using GDI Logic for Hearing Aid Applications N. Subbulakshmi Sri Ramakrishna Engineering

More information

Design and Analysis of CMOS Based DADDA Multiplier

Design and Analysis of CMOS Based DADDA Multiplier www..org Design and Analysis of CMOS Based DADDA Multiplier 12 P. Samundiswary 1, K. Anitha 2 1 Department of Electronics Engineering, Pondicherry University, Puducherry, India 2 Department of Electronics

More information

Novel Low-Overhead Operand Isolation Techniques for Low-Power Datapath Synthesis

Novel Low-Overhead Operand Isolation Techniques for Low-Power Datapath Synthesis Novel Low-Overhead Operand Isolation Techniques for Low-Power Datapath Synthesis N. Banerjee, A. Raychowdhury, S. Bhunia, H. Mahmoodi, and K. Roy School of Electrical and Computer Engineering, Purdue University,

More information

An Area Efficient Decomposed Approximate Multiplier for DCT Applications

An Area Efficient Decomposed Approximate Multiplier for DCT Applications An Area Efficient Decomposed Approximate Multiplier for DCT Applications K.Mohammed Rafi 1, M.P.Venkatesh 2 P.G. Student, Department of ECE, Shree Institute of Technical Education, Tirupati, India 1 Assistant

More information

International Journal of Modern Engineering and Research Technology

International Journal of Modern Engineering and Research Technology Volume 1, Issue 4, October 2014 ISSN: 2348-8565 (Online) International Journal of Modern Engineering and Research Technology Website: http://www.ijmert.org Email: editor.ijmert@gmail.com Vedic Optimized

More information

Heterogeneous Concurrent Error Detection (hced) Based on Output Anticipation

Heterogeneous Concurrent Error Detection (hced) Based on Output Anticipation International Conference on ReConFigurable Computing and FPGAs (ReConFig 2011) 30 th Nov- 2 nd Dec 2011, Cancun, Mexico Heterogeneous Concurrent Error Detection (hced) Based on Output Anticipation Naveed

More information

Design of Low power and Area Efficient 8-bit ALU using GDI Full Adder and Multiplexer

Design of Low power and Area Efficient 8-bit ALU using GDI Full Adder and Multiplexer Design of Low power and Area Efficient 8-bit ALU using GDI Full Adder and Multiplexer Mr. Y.Satish Kumar M.tech Student, Siddhartha Institute of Technology & Sciences. Mr. G.Srinivas, M.Tech Associate

More information

International Journal of Advance Engineering and Research Development

International Journal of Advance Engineering and Research Development Scientific Journal of Impact Factor (SJIF): 4.72 International Journal of Advance Engineering and Research Development Volume 4, Issue 4, April -2017 e-issn (O): 2348-4470 p-issn (P): 2348-6406 High Speed

More information

A Low Power and Area Efficient Full Adder Design Using GDI Multiplexer

A Low Power and Area Efficient Full Adder Design Using GDI Multiplexer A Low Power and Area Efficient Full Adder Design Using GDI Multiplexer G.Bramhini M.Tech (VLSI), Vidya Jyothi Institute of Technology. G.Ravi Kumar, M.Tech Assistant Professor, Vidya Jyothi Institute of

More information

Low-Power Digital CMOS Design: A Survey

Low-Power Digital CMOS Design: A Survey Low-Power Digital CMOS Design: A Survey Krister Landernäs June 4, 2005 Department of Computer Science and Electronics, Mälardalen University Abstract The aim of this document is to provide the reader with

More information

Low Power 8-Bit ALU Design Using Full Adder and Multiplexer Based on GDI Technique

Low Power 8-Bit ALU Design Using Full Adder and Multiplexer Based on GDI Technique Low Power 8-Bit ALU Design Using Full Adder and Multiplexer Based on GDI Technique Mohd Shahid M.Tech Student Al-Habeeb College of Engineering and Technology. Abstract Arithmetic logic unit (ALU) is an

More information

Low Power 8-Bit ALU Design Using Full Adder and Multiplexer

Low Power 8-Bit ALU Design Using Full Adder and Multiplexer Low Power 8-Bit ALU Design Using Full Adder and Multiplexer Gaddam Sushil Raj B.Tech, Vardhaman College of Engineering. ABSTRACT: Arithmetic logic unit (ALU) is an important part of microprocessor. In

More information

SIGNED PIPELINED MULTIPLIER USING HIGH SPEED COMPRESSORS

SIGNED PIPELINED MULTIPLIER USING HIGH SPEED COMPRESSORS INTERNATIONAL JOURNAL OF RESEARCH IN COMPUTER APPLICATIONS AND ROBOTICS ISSN 2320-7345 SIGNED PIPELINED MULTIPLIER USING HIGH SPEED COMPRESSORS 1 T.Thomas Leonid, 2 M.Mary Grace Neela, and 3 Jose Anand

More information

Implementation of Low Power High Speed Full Adder Using GDI Mux

Implementation of Low Power High Speed Full Adder Using GDI Mux Implementation of Low Power High Speed Full Adder Using GDI Mux Thanuja Kummuru M.Tech Student Department of ECE Audisankara College of Engineering and Technology. Abstract The binary adder is the critical

More information

Ramon Canal NCD Master MIRI. NCD Master MIRI 1

Ramon Canal NCD Master MIRI. NCD Master MIRI 1 Wattch, Hotspot, Hotleakage, McPAT http://www.eecs.harvard.edu/~dbrooks/wattch-form.html http://lava.cs.virginia.edu/hotspot http://lava.cs.virginia.edu/hotleakage http://www.hpl.hp.com/research/mcpat/

More information

COMPARISION OF LOW POWER AND DELAY USING BAUGH WOOLEY AND WALLACE TREE MULTIPLIERS

COMPARISION OF LOW POWER AND DELAY USING BAUGH WOOLEY AND WALLACE TREE MULTIPLIERS COMPARISION OF LOW POWER AND DELAY USING BAUGH WOOLEY AND WALLACE TREE MULTIPLIERS ( 1 Dr.V.Malleswara rao, 2 K.V.Ganesh, 3 P.Pavan Kumar) 1 Professor &HOD of ECE,GITAM University,Visakhapatnam. 2 Ph.D

More information

Low-Power CMOS VLSI Design

Low-Power CMOS VLSI Design Low-Power CMOS VLSI Design ( 范倫達 ), Ph. D. Department of Computer Science, National Chiao Tung University, Taiwan, R.O.C. Fall, 2017 ldvan@cs.nctu.edu.tw http://www.cs.nctu.tw/~ldvan/ Outline Introduction

More information

Course Outcome of M.Tech (VLSI Design)

Course Outcome of M.Tech (VLSI Design) Course Outcome of M.Tech (VLSI Design) PVL108: Device Physics and Technology The students are able to: 1. Understand the basic physics of semiconductor devices and the basics theory of PN junction. 2.

More information

Area and Delay Efficient Carry Select Adder using Carry Prediction Approach

Area and Delay Efficient Carry Select Adder using Carry Prediction Approach Journal From the SelectedWorks of Kirat Pal Singh July, 2016 Area and Delay Efficient Carry Select Adder using Carry Prediction Approach Satinder Singh Mohar, Punjabi University, Patiala, Punjab, India

More information

Design and Analysis of Row Bypass Multiplier using various logic Full Adders

Design and Analysis of Row Bypass Multiplier using various logic Full Adders Design and Analysis of Row Bypass Multiplier using various logic Full Adders Dr.R.Naveen 1, S.A.Sivakumar 2, K.U.Abhinaya 3, N.Akilandeeswari 4, S.Anushya 5, M.A.Asuvanti 6 1 Associate Professor, 2 Assistant

More information

Design of an Energy Efficient 4-2 Compressor

Design of an Energy Efficient 4-2 Compressor IOP Conference Series: Materials Science and Engineering PAPER OPEN ACCESS Design of an Energy Efficient 4-2 Compressor To cite this article: Manish Kumar and Jonali Nath 2017 IOP Conf. Ser.: Mater. Sci.

More information

An Area Efficient and High Speed Reversible Multiplier Using NS Gate

An Area Efficient and High Speed Reversible Multiplier Using NS Gate RESEARCH ARTICLE OPEN ACCESS An Area Efficient and High Speed Reversible Multiplier Using NS Gate Venkateswarlu Mukku 1, Jaddu MallikharjunaReddy 2 1 Asst.Professor,Dept of ECE, Universal College Of Engineering

More information

Digital Integrated CircuitDesign

Digital Integrated CircuitDesign Digital Integrated CircuitDesign Lecture 13 Building Blocks (Multipliers) Register Adder Shift Register Adib Abrishamifar EE Department IUST Acknowledgement This lecture note has been summarized and categorized

More information

A Low-Power High-speed Pipelined Accumulator Design Using CMOS Logic for DSP Applications

A Low-Power High-speed Pipelined Accumulator Design Using CMOS Logic for DSP Applications International Journal of Research Studies in Computer Science and Engineering (IJRSCSE) Volume. 1, Issue 5, September 2014, PP 30-42 ISSN 2349-4840 (Print) & ISSN 2349-4859 (Online) www.arcjournals.org

More information

[Krishna, 2(9): September, 2013] ISSN: Impact Factor: INTERNATIONAL JOURNAL OF ENGINEERING SCIENCES & RESEARCH TECHNOLOGY

[Krishna, 2(9): September, 2013] ISSN: Impact Factor: INTERNATIONAL JOURNAL OF ENGINEERING SCIENCES & RESEARCH TECHNOLOGY IJESRT INTERNATIONAL JOURNAL OF ENGINEERING SCIENCES & RESEARCH TECHNOLOGY Design of Wallace Tree Multiplier using Compressors K.Gopi Krishna *1, B.Santhosh 2, V.Sridhar 3 gopikoleti@gmail.com Abstract

More information

Implementation of Parallel Multiplier-Accumulator using Radix- 2 Modified Booth Algorithm and SPST

Implementation of Parallel Multiplier-Accumulator using Radix- 2 Modified Booth Algorithm and SPST ǁ Volume 02 - Issue 01 ǁ January 2017 ǁ PP. 06-14 Implementation of Parallel Multiplier-Accumulator using Radix- 2 Modified Booth Algorithm and SPST Ms. Deepali P. Sukhdeve Assistant Professor Department

More information

DESIGN AND ANALYSIS OF VEDIC MULTIPLIER USING MICROWIND

DESIGN AND ANALYSIS OF VEDIC MULTIPLIER USING MICROWIND DESIGN AND ANALYSIS OF VEDIC MULTIPLIER USING MICROWIND Amita 1, Nisha Yadav 2, Pardeep 3 1,2,3 Student, YMCA University of Science and Technology/Electronics Engineering, Faridabad, (India) ABSTRACT Multiplication

More information

Fpga Implementation of Truncated Multiplier Using Reversible Logic Gates

Fpga Implementation of Truncated Multiplier Using Reversible Logic Gates International Journal of Engineering Science Invention ISSN (Online): 2319 6734, ISSN (Print): 2319 6726 Volume 2 Issue 12 ǁ December. 2013 ǁ PP.44-48 Fpga Implementation of Truncated Multiplier Using

More information

A New network multiplier using modified high order encoder and optimized hybrid adder in CMOS technology

A New network multiplier using modified high order encoder and optimized hybrid adder in CMOS technology Inf. Sci. Lett. 2, No. 3, 159-164 (2013) 159 Information Sciences Letters An International Journal http://dx.doi.org/10.12785/isl/020305 A New network multiplier using modified high order encoder and optimized

More information

An Optimized Design of High-Speed and Energy- Efficient Carry Skip Adder with Variable Latency Extension

An Optimized Design of High-Speed and Energy- Efficient Carry Skip Adder with Variable Latency Extension An Optimized Design of High-Speed and Energy- Efficient Carry Skip Adder with Variable Latency Extension Monisha.T.S 1, Senthil Prakash.K 2 1 PG Student, ECE, Velalar College of Engineering and Technology

More information

Implementation of 4x4 Vedic Multiplier using Carry Save Adder in Quantum-Dot Cellular Automata

Implementation of 4x4 Vedic Multiplier using Carry Save Adder in Quantum-Dot Cellular Automata International Conference on Communication and Signal Processing, April 6-8, 2016, India Implementation of 4x4 Vedic Multiplier using Carry Save Adder in Quantum-Dot Cellular Automata Ashvin Chudasama,

More information

DESIGN OF FIR FILTER ARCHITECTURE USING VARIOUS EFFICIENT MULTIPLIERS Indumathi M #1, Vijaya Bala V #2

DESIGN OF FIR FILTER ARCHITECTURE USING VARIOUS EFFICIENT MULTIPLIERS Indumathi M #1, Vijaya Bala V #2 ISSN: 0975-766X CODEN: IJPTFI Available Online through Research Article www.ijptonline.com DESIGN OF FIR FILTER ARCHITECTURE USING VARIOUS EFFICIENT MULTIPLIERS Indumathi M #1, Vijaya Bala V #2 1,2 Electronics

More information

DIGITAL INTEGRATED CIRCUITS A DESIGN PERSPECTIVE 2 N D E D I T I O N

DIGITAL INTEGRATED CIRCUITS A DESIGN PERSPECTIVE 2 N D E D I T I O N DIGITAL INTEGRATED CIRCUITS A DESIGN PERSPECTIVE 2 N D E D I T I O N Jan M. Rabaey, Anantha Chandrakasan, and Borivoje Nikolic CONTENTS PART I: THE FABRICS Chapter 1: Introduction (32 pages) 1.1 A Historical

More information

NanoFabrics: : Spatial Computing Using Molecular Electronics

NanoFabrics: : Spatial Computing Using Molecular Electronics NanoFabrics: : Spatial Computing Using Molecular Electronics Seth Copen Goldstein and Mihai Budiu Computer Architecture, 2001. Proceedings. 28th Annual International Symposium on 30 June-4 4 July 2001

More information

DESIGN OF HIGH EFFICIENT AND LOW POWER MULTIPLIER

DESIGN OF HIGH EFFICIENT AND LOW POWER MULTIPLIER Int. J. Engg. Res. & Sci. & Tech. 2015 Balaje et al., 2015 Research Paper ISSN 2319-5991 www.ijerst.com Special Issue, Vol. 1, No. 3, May 2015 International Conference on Advance Research and Innovation

More information

FPGA Implementation of MAC Unit Design by Using Vedic Multiplier

FPGA Implementation of MAC Unit Design by Using Vedic Multiplier FPGA Implementation of MAC Unit Design by Using Vedic Multiplier Syed Nighat Deptt of Electronics & Communication Engg. Anjuman College Of Engg &Tech., Nagpur, India nighatsyed786@gmail.com Prof. M. Nasiruddin

More information

Implementation of High Performance Carry Save Adder Using Domino Logic

Implementation of High Performance Carry Save Adder Using Domino Logic Page 136 Implementation of High Performance Carry Save Adder Using Domino Logic T.Jayasimha 1, Daka Lakshmi 2, M.Gokula Lakshmi 3, S.Kiruthiga 4 and K.Kaviya 5 1 Assistant Professor, Department of ECE,

More information

A new 6-T multiplexer based full-adder for low power and leakage current optimization

A new 6-T multiplexer based full-adder for low power and leakage current optimization A new 6-T multiplexer based full-adder for low power and leakage current optimization G. Ramana Murthy a), C. Senthilpari, P. Velrajkumar, and T. S. Lim Faculty of Engineering and Technology, Multimedia

More information

A Survey on Power Reduction Techniques in FIR Filter

A Survey on Power Reduction Techniques in FIR Filter A Survey on Power Reduction Techniques in FIR Filter 1 Pooja Madhumatke, 2 Shubhangi Borkar, 3 Dinesh Katole 1, 2 Department of Computer Science & Engineering, RTMNU, Nagpur Institute of Technology Nagpur,

More information

PROMINENT SPEED ARITHMETIC UNIT ARCHITECTURE FOR PROFICIENT ALU

PROMINENT SPEED ARITHMETIC UNIT ARCHITECTURE FOR PROFICIENT ALU PROMINENT SPEED ARITHMETIC UNIT ARCHITECTURE FOR PROFICIENT ALU R. Rashvenee, D. Roshini Keerthana, T. Ravi and P. Umarani Department of Electronics and Communication Engineering, Sathyabama University,

More information

Leakage Power Reduction in 5-Bit Full Adder using Keeper & Footer Transistor

Leakage Power Reduction in 5-Bit Full Adder using Keeper & Footer Transistor Leakage Power Reduction in 5-Bit Full Adder using Keeper & Footer Transistor Narendra Yadav 1, Vipin Kumar Gupta 2 1 Department of Electronics and Communication, Gyan Vihar University, Jaipur, Rajasthan,

More information

MTCMOS Post-Mask Performance Enhancement

MTCMOS Post-Mask Performance Enhancement JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.4, NO.4, DECEMBER, 2004 263 MTCMOS Post-Mask Performance Enhancement Kyosun Kim*, Hyo-Sig Won**, and Kwang-Ok Jeong** Abstract In this paper, we motivate

More information

Optimum Analysis of ALU Processor by using UT Technique

Optimum Analysis of ALU Processor by using UT Technique IJSTE - International Journal of Science Technology & Engineering Volume 2 Issue 10 April 2016 ISSN (online): 2349-784X Optimum Analysis of ALU Processor by using UT Technique Rahul Sharma Deepak Kumar

More information

A Static Power Model for Architects

A Static Power Model for Architects A Static Power Model for Architects J. Adam Butts and Guri Sohi University of Wisconsin-Madison {butts,sohi}@cs.wisc.edu 33rd International Symposium on Microarchitecture Monterey, California December,

More information

An Energy Scalable Computational Array for Energy Harvesting Sensor Signal Processing. Rajeevan Amirtharajah University of California, Davis

An Energy Scalable Computational Array for Energy Harvesting Sensor Signal Processing. Rajeevan Amirtharajah University of California, Davis An Energy Scalable Computational Array for Energy Harvesting Sensor Signal Processing Rajeevan Amirtharajah University of California, Davis Energy Scavenging Wireless Sensor Extend sensor node lifetime

More information

Design and Implementation of an Efficient Vedic Multiplier for High Performance and Low Power Applications

Design and Implementation of an Efficient Vedic Multiplier for High Performance and Low Power Applications Design and Implementation of an Efficient Vedic Multiplier for High Performance and Low Power Applications Assistant Professor Electrical Engineering Department School of science and engineering Navrachana

More information

A Fixed-Width Modified Baugh-Wooley Multiplier Using Verilog

A Fixed-Width Modified Baugh-Wooley Multiplier Using Verilog A Fixed-Width Modified Baugh-Wooley Multiplier Using Verilog K.Durgarao, B.suresh, G.Sivakumar, M.Divaya manasa Abstract Digital technology has advanced such that there is an increased need for power efficient

More information

QUATERNARY LOGIC LOOK UP TABLE FOR CMOS CIRCUITS

QUATERNARY LOGIC LOOK UP TABLE FOR CMOS CIRCUITS QUATERNARY LOGIC LOOK UP TABLE FOR CMOS CIRCUITS Anu Varghese 1,Binu K Mathew 2 1 Department of Electronics and Communication Engineering, Saintgits College Of Engineering, Kottayam 2 Department of Electronics

More information

International Journal of Modern Engineering and Research Technology

International Journal of Modern Engineering and Research Technology Volume 4, Issue 1, January 2017 ISSN: 2348-8565 (Online) International Journal of Modern Engineering and Research Technology Website: http://www.ijmert.org Email: editor.ijmert@gmail.com A Novel Approach

More information

A Survey on Design of Pipelined Single Precision Floating Point Multiplier Based On Vedic Mathematic Technique

A Survey on Design of Pipelined Single Precision Floating Point Multiplier Based On Vedic Mathematic Technique RESEARCH ARTICLE OPEN ACCESS A Survey on Design of Pipelined Single Precision Floating Point Multiplier Based On Vedic Mathematic Technique R.N.Rajurkar 1, P.R. Indurkar 2, S.R.Vaidya 3 1 Mtech III sem

More information

AREA AND DELAY EFFICIENT DESIGN FOR PARALLEL PREFIX FINITE FIELD MULTIPLIER

AREA AND DELAY EFFICIENT DESIGN FOR PARALLEL PREFIX FINITE FIELD MULTIPLIER AREA AND DELAY EFFICIENT DESIGN FOR PARALLEL PREFIX FINITE FIELD MULTIPLIER 1 CH.JAYA PRAKASH, 2 P.HAREESH, 3 SK. FARISHMA 1&2 Assistant Professor, Dept. of ECE, 3 M.Tech-Student, Sir CR Reddy College

More information

AREA EFFICIENT DISTRIBUTED ARITHMETIC DISCRETE COSINE TRANSFORM USING MODIFIED WALLACE TREE MULTIPLIER

AREA EFFICIENT DISTRIBUTED ARITHMETIC DISCRETE COSINE TRANSFORM USING MODIFIED WALLACE TREE MULTIPLIER American Journal of Applied Sciences 11 (2): 180-188, 2014 ISSN: 1546-9239 2014 Science Publication doi:10.3844/ajassp.2014.180.188 Published Online 11 (2) 2014 (http://www.thescipub.com/ajas.toc) AREA

More information

INTERNATIONAL JOURNAL OF PURE AND APPLIED RESEARCH IN ENGINEERING AND TECHNOLOGY

INTERNATIONAL JOURNAL OF PURE AND APPLIED RESEARCH IN ENGINEERING AND TECHNOLOGY INTERNATIONAL JOURNAL OF PURE AND APPLIED RESEARCH IN ENGINEERING AND TECHNOLOGY A PATH FOR HORIZING YOUR INNOVATIVE WORK DESIGN OF LOW POWER MULTIPLIERS USING APPROXIMATE ADDER MR. PAWAN SONWANE 1, DR.

More information

CHAPTER 4 ANALYSIS OF LOW POWER, AREA EFFICIENT AND HIGH SPEED MULTIPLIER TOPOLOGIES

CHAPTER 4 ANALYSIS OF LOW POWER, AREA EFFICIENT AND HIGH SPEED MULTIPLIER TOPOLOGIES 69 CHAPTER 4 ANALYSIS OF LOW POWER, AREA EFFICIENT AND HIGH SPEED MULTIPLIER TOPOLOGIES 4.1 INTRODUCTION Multiplication is one of the basic functions used in digital signal processing. It requires more

More information

THE INTERNATIONAL JOURNAL OF SCIENCE & TECHNOLEDGE

THE INTERNATIONAL JOURNAL OF SCIENCE & TECHNOLEDGE THE INTERNATIONAL JOURNAL OF SCIENCE & TECHNOLEDGE A Novel Approach of -Insensitive Null Convention Logic Microprocessor Design J. Asha Jenova Student, ECE Department, Arasu Engineering College, Tamilndu,

More information

Reference. Wayne Wolf, FPGA-Based System Design Pearson Education, N Krishna Prakash,, Amrita School of Engineering

Reference. Wayne Wolf, FPGA-Based System Design Pearson Education, N Krishna Prakash,, Amrita School of Engineering FPGA Fabrics Reference Wayne Wolf, FPGA-Based System Design Pearson Education, 2004 CPLD / FPGA CPLD Interconnection of several PLD blocks with Programmable interconnect on a single chip Logic blocks executes

More information

Design of 64-Bit Low Power ALU for DSP Applications

Design of 64-Bit Low Power ALU for DSP Applications Design of 64-Bit Low Power ALU for DSP Applications J. Nandini 1, V.V.M.Krishna 2 1 M.Tech Scholar [VLSI Design], Department of ECE, KECW, Narasaraopet, A.P., India 2 Associate Professor, Department of

More information

CHAPTER 5 IMPLEMENTATION OF MULTIPLIERS USING VEDIC MATHEMATICS

CHAPTER 5 IMPLEMENTATION OF MULTIPLIERS USING VEDIC MATHEMATICS 49 CHAPTER 5 IMPLEMENTATION OF MULTIPLIERS USING VEDIC MATHEMATICS 5.1 INTRODUCTION TO VHDL VHDL stands for VHSIC (Very High Speed Integrated Circuits) Hardware Description Language. The other widely used

More information

ISSN Vol.07,Issue.08, July-2015, Pages:

ISSN Vol.07,Issue.08, July-2015, Pages: ISSN 2348 2370 Vol.07,Issue.08, July-2015, Pages:1397-1402 www.ijatir.org Implementation of 64-Bit Modified Wallace MAC Based On Multi-Operand Adders MIDDE SHEKAR 1, M. SWETHA 2 1 PG Scholar, Siddartha

More information

Implementation and Performance Analysis of a Vedic Multiplier Using Tanner EDA Tool

Implementation and Performance Analysis of a Vedic Multiplier Using Tanner EDA Tool IJSRD - International Journal for Scientific Research & Development Vol. 1, Issue 5, 2013 ISSN (online): 2321-0613 Implementation and Performance Analysis of a Vedic Multiplier Using Tanner EDA Tool Dheeraj

More information

DESIGN OF PARALLEL MULTIPLIERS USING HIGH SPEED ADDER

DESIGN OF PARALLEL MULTIPLIERS USING HIGH SPEED ADDER DESIGN OF PARALLEL MULTIPLIERS USING HIGH SPEED ADDER Mr. M. Prakash Mr. S. Karthick Ms. C Suba PG Scholar, Department of ECE, BannariAmman Institute of Technology, Sathyamangalam, T.N, India 1, 3 Assistant

More information

An Efficient Design of Vedic Multiplier Using Pass Transistor Logic

An Efficient Design of Vedic Multiplier Using Pass Transistor Logic An Efficient Design of Vedic Multiplier Using Pass Transistor Logic Emjala Divya M.Tech(VLSI System Design), Holy Mary Institute of Science and Technology. Abstract: Y.David Solomonraju, M.Tech Associate

More information

Low Power High Performance 10T Full Adder for Low Voltage CMOS Technology Using Dual Threshold Voltage

Low Power High Performance 10T Full Adder for Low Voltage CMOS Technology Using Dual Threshold Voltage Low Power High Performance 10T Full Adder for Low Voltage CMOS Technology Using Dual Threshold Voltage Surbhi Kushwah 1, Shipra Mishra 2 1 M.Tech. VLSI Design, NITM College Gwalior M.P. India 474001 2

More information

CMOS Process Variations: A Critical Operation Point Hypothesis

CMOS Process Variations: A Critical Operation Point Hypothesis CMOS Process Variations: A Critical Operation Point Hypothesis Janak H. Patel Department of Electrical and Computer Engineering University of Illinois at Urbana-Champaign jhpatel@uiuc.edu Computer Systems

More information

Review On Design Of Low Power Multiply And Accumulate Unit Using Baugh-Wooley Based Multiplier

Review On Design Of Low Power Multiply And Accumulate Unit Using Baugh-Wooley Based Multiplier Review On Design Of Low Power Multiply And Accumulate Unit Using Baugh-Wooley Based Multiplier Ku. Shweta N. Yengade 1, Associate Prof. P. R. Indurkar 2 1 M. Tech Student, Department of Electronics and

More information

Design of Delay-Power Efficient Carry Select Adder using 3-T XOR Gate

Design of Delay-Power Efficient Carry Select Adder using 3-T XOR Gate Adv. Eng. Tec. Appl. 5, No. 1, 1-6 (2016) 1 Advanced Engineering Technology and Application An International Journal http://dx.doi.org/10.18576/aeta/050101 Design of Delay-Power Efficient Carry Select

More information

A COMPARATIVE ANALYSIS OF AN ULTRA-LOW VOLTAGE 1-BIT FULL SUBTRACTOR DESIGNED IN BOTH DIGITAL AND ANALOG ENVIRONMENTS

A COMPARATIVE ANALYSIS OF AN ULTRA-LOW VOLTAGE 1-BIT FULL SUBTRACTOR DESIGNED IN BOTH DIGITAL AND ANALOG ENVIRONMENTS A COMPARATIVE ANALYSIS OF AN ULTRA-LOW VOLTAGE 1-BIT FULL SUBTRACTOR DESIGNED IN BOTH DIGITAL AND ANALOG ENVIRONMENTS Suchismita Sengupta M.Tech Student, VLSI & EMBEDDED Systems, Dept. Of Electronics &

More information

2. URDHAVA TIRYAKBHYAM METHOD

2. URDHAVA TIRYAKBHYAM METHOD ISSN (O): 2349-7084 International Journal of Computer Engineering In Research Trends Available online at: www.ijcert.org Area Efficient and High Speed Vedic Multiplier Using Different Compressors 1 RAJARAPU

More information

Energy Efficient Memory Design using Low Voltage Complementary Metal Oxide Semiconductor on 28nm FPGA

Energy Efficient Memory Design using Low Voltage Complementary Metal Oxide Semiconductor on 28nm FPGA Indian Journal of Science and Technology, Vol 8(17), DOI: 10.17485/ijst/20/v8i17/76237, August 20 ISSN (Print) : 0974-6846 ISSN (Online) : 0974-5645 Energy Efficient Memory Design using Low Voltage Complementary

More information

DESIGN OF HIGH SPEED AND ENERGY EFFICIENT CARRY SKIP ADDER

DESIGN OF HIGH SPEED AND ENERGY EFFICIENT CARRY SKIP ADDER DESIGN OF HIGH SPEED AND ENERGY EFFICIENT CARRY SKIP ADDER Mr.R.Jegn 1, Mr.R.Bala Murugan 2, Miss.R.Rampriya 3 M.E 1,2, Assistant Professor 3, 1,2,3 Department of Electronics and Communication Engineering,

More information

Design of 32 Bit Vedic Multiplier using Carry Look Ahead Adder

Design of 32 Bit Vedic Multiplier using Carry Look Ahead Adder GRD Journals Global Research and Development Journal for Engineering National Conference on Emerging Trends in Electrical, Electronics and Computer Engineering (ETEEC-2018) April 2018 e-issn: 2455-5703

More information

MOS CURRENT MODE LOGIC BASED PRIORITY ENCODERS

MOS CURRENT MODE LOGIC BASED PRIORITY ENCODERS MOS CURRENT MODE LOGIC BASED PRIORITY ENCODERS Neeta Pandey 1, Kirti Gupta 2, Stuti Gupta 1, Suman Kumari 1 1 Dept. of Electronics and Communication, Delhi Technological University, New Delhi (India) 2

More information

Implementation and Performance Analysis of different Multipliers

Implementation and Performance Analysis of different Multipliers Implementation and Performance Analysis of different Multipliers Pooja Karki, Subhash Chandra Yadav * Department of Electronics and Communication Engineering Graphic Era University, Dehradun, India * Corresponding

More information

EE241 - Spring 2004 Advanced Digital Integrated Circuits. Announcements. Borivoje Nikolic. Lecture 15 Low-Power Design: Supply Voltage Scaling

EE241 - Spring 2004 Advanced Digital Integrated Circuits. Announcements. Borivoje Nikolic. Lecture 15 Low-Power Design: Supply Voltage Scaling EE241 - Spring 2004 Advanced Digital Integrated Circuits Borivoje Nikolic Lecture 15 Low-Power Design: Supply Voltage Scaling Announcements Homework #2 due today Midterm project reports due next Thursday

More information

HIGH SPEED APPLICATION SPECIFIC INTEGRATED CIRCUIT (ASIC) DESIGN OF CONVOLUTION AND RELATED FUNCTIONS USING VEDIC MULTIPLIER

HIGH SPEED APPLICATION SPECIFIC INTEGRATED CIRCUIT (ASIC) DESIGN OF CONVOLUTION AND RELATED FUNCTIONS USING VEDIC MULTIPLIER HIGH SPEED APPLICATION SPECIFIC INTEGRATED CIRCUIT (ASIC) DESIGN OF CONVOLUTION AND RELATED FUNCTIONS USING VEDIC MULTIPLIER Sai Vignesh K. and Balamurugan S. and Marimuthu R. School of Electrical Engineering,

More information

Low-Power Approximate Unsigned Multipliers with Configurable Error Recovery

Low-Power Approximate Unsigned Multipliers with Configurable Error Recovery SUBMITTED FOR REVIEW 1 Low-Power Approximate Unsigned Multipliers with Configurable Error Recovery Honglan Jiang*, Student Member, IEEE, Cong Liu*, Fabrizio Lombardi, Fellow, IEEE and Jie Han, Senior Member,

More information

HIGH-PERFORMANCE ENERGY-EFFICIENT MICROPROCESSOR DESIGN

HIGH-PERFORMANCE ENERGY-EFFICIENT MICROPROCESSOR DESIGN HIGH-PERFORMANCE ENERGY-EFFICIENT MICROPROCESSOR DESIGN SERIES ON INTEGRATED CIRCUITS AND SYSTEMS Anantha Chandrakasan, Editor Massachusetts Institute of Technology Cambridge, Massachusetts, USA Published

More information

Published by: PIONEER RESEARCH & DEVELOPMENT GROUP (www.prdg.org) 1

Published by: PIONEER RESEARCH & DEVELOPMENT GROUP (www.prdg.org) 1 Design Of Low Power Approximate Mirror Adder Sasikala.M 1, Dr.G.K.D.Prasanna Venkatesan 2 ME VLSI student 1, Vice Principal, Professor and Head/ECE 2 PGP college of Engineering and Technology Nammakkal,

More information

Design and Implementation of High Speed Carry Select Adder Korrapatti Mohammed Ghouse 1 K.Bala. 2

Design and Implementation of High Speed Carry Select Adder Korrapatti Mohammed Ghouse 1 K.Bala. 2 IJSRD - International Journal for Scientific Research & Development Vol. 3, Issue 07, 2015 ISSN (online): 2321-0613 Design and Implementation of High Speed Carry Select Adder Korrapatti Mohammed Ghouse

More information

UNIT-II LOW POWER VLSI DESIGN APPROACHES

UNIT-II LOW POWER VLSI DESIGN APPROACHES UNIT-II LOW POWER VLSI DESIGN APPROACHES Low power Design through Voltage Scaling: The switching power dissipation in CMOS digital integrated circuits is a strong function of the power supply voltage.

More information