Concepts of Parallelism In An Introductory Computer Architecture Courses With FPGA Laboratories

Size: px
Start display at page:

Download "Concepts of Parallelism In An Introductory Computer Architecture Courses With FPGA Laboratories"

Transcription

1 Concepts of Parallelism In An Introductory Computer Architecture Courses With FPGA Laboratories Sally L. Wood 1, Chris Dick 2 Abstract The introductory course in computer architecture or machine organization required of most electrical and computer engineering students has evolved substantially in recent years as technological advances have led to ever increasing processor sophistication. In most cases the introductory course is still built around traditional instruction set architectures (ISA) using actual or simulated processors. However the future will require that working engineers be able to effectively use highly integrated distributed arrays of computational resources. With the widespread use of field programmable gate arrays (FPGA) in student laboratories, it is now possible to introduce basic concepts of parallel structures, such as those used in special purpose high performance graphics processing or digital signal processing, without confronting the complex communication and synchronization issues associated with arrays of processors. An introductory architecture course has been modified to include concepts of parallel structures as well as traditional ISAs. The same FPGAs that can be used to create a simple processor with a basic instruction set can also be used to implement simple structures for parallel computation. Although the design methodology and performance evaluations for these parallel designs are not as mature as the traditional ISA based design, it is still possible to introduce perspectives of parallel design in the introductory course. This paper describes the course and some of the laboratory experience. Index Terms digital system architecture, FPGA, parallel operations, digital filters INTRODUCTION A first course in machine organization is found in the lower division of many electrical and computer engineering curricula. It usually follows a programming course and a logic design course, and it is intended to provide a basic introduction to the architecture and input/output interface of a simple general purpose processor. With growing interest in mechatronics and instrumentation control, such a course may also be valuable to mechanical engineering students. A more advanced treatment of computer architecture is usually found in an upper division computer engineering course. The content of the machine organization course has evolved as technology has changed the way general purpose processors are designed and used. Twenty years ago the course would have focussed on assembler and machine level instructions for a simple Intel or Motorola processor, and students would have used assembly language programming for microprocessor projects. Hardware multiplication, if available, would have required an additional mathematics coprocessor chip. As semiconductor technology advanced following Moore s law, processors and instruction sets grew in complexity, and compilers became more sophisticated. The focus of the course shifted away from proficiency in an assembly language in order to write better code than a compiler. Understanding alternative architectures for general purpose processors and knowing how higher level language instructions were interpreted for a traditional ISA became more important. The course typically included principles of design for data paths, register transfer and register files, design of computational components, and design of control units. Today an introductory machine organization course is often the preparation for understanding and using of a wide variety of processors, including the simplest of microcontrollers, embedded system applications, complex general purpose processors, and processors tuned for specific applications such as digital signal processing. The diversity of processors has grown as a result of optimizing the use of the ever increasing capability of logic and memory for a wide range of applications. A number of different architectural approaches to improving performance using traditional ISAs each imposes its own corresponding layers of complexity. For example, registers can be added for pipelining to allow some parallel use resources at the expense of restricted ordering of instructions. VLIW processors, such as the TMS320C6711 [9] include multiple processors with different ISAs optimized for different subsets of instructions. Efficient parallel execution on these processors is best achieved with a good compiler. At a higher level, use of multiple processors in parallel requires effective strategies for partitioning tasks and managing communication between processors. However, at a much lower level of the architecture, circuits can be designed for parallel implementations of computations traditionally implemented with a sequence of instructions. FPGAs, which were originally used primarily for glue logic, now have distributed memory and multiplication resources that are capable of handling computational tasks 1 Sally L. Wood, Professor, Electrical Engineering, Santa Clara University, Santa Clara, California, swood@scu.edu 2 Chris Dick, Signal Processing Group, Xilinx, Inc., 2100 Logic Drive, San Jose, California, 95124, chris.dick@xilinx.com F4C15

2 directly. This leads to an entirely new perspective for the tradeoffs in architectural approaches for computationally intensive applications in the context of current technology. NEED FOR BROADER PERSPECTIVE WITH HDLS AND FPGAS FPGAbased computing offers the possibility of dramatically extending the capability of traditional von Neumann machines based on the concept of stored instructions and a program counter to emulate a datapath. The von Neumann architecture has been very successful over the past 50 years and has been applied to several generations of computers, but it reflects a set of optimizations based on assumptions that become less valid as semiconductor technology progresses. For example, the functional units of the ENIAC [6] were physically separate with electomagnetic memory components and vacuum tube switches for computation and control. The separation of the memory and the computation in the computing model with regulated transfers between them realistically reflected the physical implementation. Semiconductor components soon replaced other forms of memory and computational units, but for many years the memory and computational circuits were still separate components. Computation was still an expensive resource, often found in an optional separate component. Algorithms to minimize or avoid multiplication, such as the FFT [1] or Bresenham s algorithm [3], were useful. Although we still use the von Neumann model today, the physical structure of computational hardware is fundamentally different. The functional units are inexpensive and highly integrated. For example, a current stateoftheart FPGA like the Virtex Pro XC2VP125 [12] supplies bitby18bit embedded multipliers. Methods of realizing the full potential of massive parallel arrays of computing elements are needed, but this is rarely included in engineering curricula. A major issue in the context of microprocessors is the difficulty of realizing mechanisms for efficiently utilizing the vast array of compute nodes when bounded by the operating principles of the von Neumann machine. The question of writing effective compilers for this combination of constraints also becomes an important issue. FPGAs remove the structural limitations of the ISA machine and allow designers the freedom to build custom datapaths to solve a specific problem rather than using a general purpose datapath to emulate the desired datapath. To add this option to the general set of design alternatives included in a university curriculum, a design methodology is needed for the emerging use of spatial computing. The lack of visibility in current curricula is partially due to the relatively short amount of time that reconfigurable devices have been used for mathematically intensive applications. A significant issue that must be addressed is the lack of FPGA design flows for computationally intensive applications such as signal processing and communications. Until recently, using an FPGA for these applications has required intimate knowledge of hardware description languages (HDL), but a higher level design methodology is needed. In addition, a more visually intuitive design tool is desirable to emphasize the spatial or parallel structures of a design. FPGA tool flows have changed in recent years, and practicing engineers and classroom laboratories now have access to design flows that allow the designer to work in the language of the application [2]. In the case of signal processing, Matlab with Simulink [5] from The Mathworks is a common framework for providing students with experience coding and simulating signal processing and communications concepts. This same environment can now be used to produce an implementation in an FPGA. With this capability, we can include some basic alternatives to ISA based processing in an introductory architecture course at an appropriate level of complexity. CURRENT COURSE CONTENT The "Introduction to Digital Systems Architecture" course is a sophomore level required course for electrical engineering students who have already taken a course in logic design and a course in C language programming. For many students who choose their electives in other areas, this is the last course they will take in the area of digital design, so it is important that the course include exposure to new design approaches that are likely to significantly impact future applications. This course has evolved from a course with a heavy emphasis on assembly language programming of a specific microprocessor into a more general course on principles of machine organization. Although the course emphasizes basic principles of datapath designs, register transfer, register files, arithmetic units, instruction decoding, and instruction cycle control logic, some use of a specific assembler language, or a subset of a language, is used to complement the generic instructions. Several years ago this course was changed so that the examples of architectural design elements and instruction sequences were based on the implementation, but not design, of FIR and IIR digital filters. This approach had several advantages. Digital filtering provided a structured continuing example for use throughout the course to illustrate basic concepts. The general mathematical computations using vector dot products demonstrated addressing modes, datatypes, and use of queues and stacks. The use of audio inputs and outputs as the interface examples added interest for the students while demonstrating data type conversions and interrupt handling. This also helped demonstrate the implications of the architecture on realtime applications as well as alternative input and output methods [10]. A third advantage is that this course became more complementary to the second analog circuits course, usually taken at the same time, where the students analyzed frequency selective analog circuits. Now the first 25% of the course covers traditional datapath and control logic topics. It is supplemented by laboratories in which students design an FPGA implementation of the instruction decoding and register transfers for a very simple single cycle architecture with a very limited instruction set using only immediate and register addressing modes. Students use the same design tools and FPGAs that were used in the prerequisite logic design course, so these laboratories are an extension of previous activities. From this experience they gain a basic understanding of F4C16

3 processor structure and a framework for using specific microcontrollers in future projects. Instruction sequences are created to compute the Fibonacci sequence or the sequence of squares of integers. For the remainder of the course the TMS320C6711 has been used for the laboratories replacing the earlier TMS320C30. The change to the VLIW processor created some problems due to the multiple processors and the complexity of parallel use. The compiler complexity of assigning different instructions to different processors is not explored in detail. However, the parallel instructions provide the opportunity to compare slightly different ISAs and explore the tradeoffs made to improve performance in one area at the expense of another. To further improve the scope of this course, a new section comparing the use of traditional processors to parallel implementation alternatives using modern FPGAs was planned. This would move the parallel utilization of resources to a lower level that was more appropriate for a lower division course. ADDITION OF PARALLEL OR SPATIAL COMPUTING CONCEPTS There are many challenges in adding material on basic concepts of spatial computing to an early machine organization course, although in several respects this is a logical place to introduce them. Students in the first circuits and logic design courses are always thinking in terms of parallel operation of the elementary circuit components. In contrast, current efforts to parallelize computation using arrays of complex processors presume a mature understanding of the processors capabilities. Complex issues of parallel processors include the general problems of partitioning a task among multiple processors, the structure of the communication and memory access between processors, and compiler design for multiple processors. Normally this material is found in an advanced computer architecture course and students in an introductory course would not have the background necessary to appreciate these issues. While it is not really feasible to address these complex issues of parallel processor architecture in the machine organization class, it is important that the students understand that parallel usage of distributed computational or sensor resources will be an important design consideration in the near future. All aspects of parallel computing need not be based on complex multiprocessor interaction which is deferred to advanced courses. Since the sophomore level course lays the foundation for design of datapaths and control units, it is possible to add material that will lead to options other than a traditional processor with an associated register file and instruction set. Implementation of several elementary processes used in digital signal and image processing provide examples for alternative computational architectures. These topics will be added to the last 25% of the course which typically focuses on recent advances in architectures or comparison of different general purpose processors. There is currently no widely accepted design methodology for implementation of parallel computing at this level, and examples should be chosen to illustrate the types of computation that might be used in a wide variety of applications. Use of an HDL allows the description of a design with parallel data paths and simultaneous computation, but the verbal level of abstraction and the sequential list of statements may obscure the parallel nature of the architecture from the student at this introductory level. More graphic tools are needed to emphasize the simultaneous utilization of a spatial array of computational resources and to assist in visualization of the data flow. The last laboratory experiments for this course will use a visual block diagram based design tool such as VAB or Simulink [5] and Xilinx System generator [11]. These laboratories will consider an alternate arithmetic approach and two applications that are usually thought of in terms of sequential processing, but could benefit from a parallel implementation. CSD Arithmetic The first new topic combines concepts of computation concurrency, customized datapaths and alternative techniques for performing arithmetic. It implements a digital filter directly using canonic sign digit (CSD) arithmetic. Instruction set machines, as used earlier in the course, typically use conventional two s complement arithmetic or possibly floatingpoint arithmetic, and implement the filter using data queues. The very architecture of these devices restricts the designer from implementing computations with say, finite field arithmetic, or redundant arithmetic. These avenues can be explored for digital filtering applications in the spirit of producing a reduced complexity implementation that is optimized in some sense, for example, minimum number of multiplications. A CSD filter is a multiplierfree approach to the filter implementation. Coefficients are coded using a radix2 number system where digit positions in a word are in the set {1,0,+1}. The reader is referred to [7] for a more complete description of filter implementation using CSD techniques. CSD filters are commonly used in VLSI signal processing devices for implementing matched filters [8] and supplying x/sin(x) correction for example. These same techniques are highly applicable to FPGA implementations of these types of processing. Our specific example is based on the DVB demodulator CSDbased matched filter described in [8]. The objective is to implement the 9tap FIR filter characterized in Table I. In this example the student explores computation parallelism, filter architecture options, and computing using an alternative number system. All of this is achieved in the context of a very real example and can be implemented using FPGA technology in the laboratory. FIGURE 1 shows the implementation that uses only shifts, addition, subtraction, and delay elements. This implementation uses a transposed structure that also exploits coefficient symmetry. The filter structure and coefficient are provided to the students, who will compare this implementation to a previous laboratory which implemented a realtime FIR filter with a DSP. F4C17

4 4 4 TABLE I: DETAILS OF DVB MATCHED FILTER. Coefficient Value 2's Complement CSD Representation h0 h 1 = h1 h 2 = h2 h 3 = h h = h Image Processing Example The multidimensional nature of image data makes image and video processing applications a natural candidate for addressing with computing architectures that supply concurrency at the data and functional unit level. This approach has been adopted by practitioners for many years, but until recently it would have been difficult to include in an undergraduate class. Certainly, there are few courses provide students with any practical experience in this area. A first image processing laboratory might extend the one dimensional filters used earlier to two dimensional data with a simple 2D filter experiment. FIGURE 2 shows the top level implementation of a 5x5 filter. Moving from left to right we can observe the data source (read from the Matlab workspace), the line buffers, 5x5 filter engine and the output sink. In this example the processed input image is written to the Matlab workspace. If FPGA hardware is available with a suitable video output device, the output can be displayed on a regular VGA monitor as would commonly be found in an undergraduate laboratory. The 5x5 filters can implement smoothing or sharpening operations, and real time input from a PC camera may also be used. The students are introduced, and required to construct for themselves, parallel memories (line buffers) for storing the image data, in addition to implementing a concurrent structure for computing the 2D inner product. In the example shown in FIGURE 3 the convolver is resourced with five inner product engines. Each engine is tasked with computing a 5tap filter. A total of 5 multipliers is used in this example. FIGURE 4 shows the input and output images for the simulation model. This example can be extended in many useful ways to reinforce different concepts. For example, the five timeshared filters could be redesigned by students to be fully parallel functional units, each module now using five FPGA embedded multipliers, instead of the one embedded multiplier in our earlier example. The implementation would now use a total of 25 multipliers compared to the 5 used in the design of FIGURE 2. The major thrust of the lab experiment is to provide students with handson experience using parallel hardware. And further, to expose them to the various tradeoffs that are available in stateoftheart computing technologies like FPGAs. In this simple example, students gain exposure and experience to a methodology for producing parallel hardware, making throughput area tradeoffs, and constructing customized data paths. ASSESSMENT The main objective of the modifications to the introductory digital architecture course is the introduction of concepts of parallel and spatial computation into the earliest treatments of architecture. These modifications need to be assessed from several perspectives. The primary concerns will be the impact on student understanding of basic principles of computational architectures and the integration of the new material with existing course objectives and content. The effectiveness of the new laboratory experiences must also be carefully evaluated to determine how well they reinforce concepts and whether the level of abstraction is appropriate. Initial feedback from students is that the laboratories are interesting and that the realtime processing of audio frequency signals from a signal generator as well as audio input from a microphone of CD provides more reward for their effort than the earlier laboratories in which they single stepped through instructions and observed the register and memory contents. The image processing component also provides motivation as well as demonstrating processing options. The block diagram specification of the process illustrates both the potential for parallel processing and a higher level design that is converted into machine instructions or FPGA bitmaps for realtime execution. While the course appears to achieve the objective of having students design realtime processing applications using the wide range of design capture for FPGAs, assembly language and C programming instructions, and block diagram specifications, the role of the processor will be examined further. The complexity of the VLIW processor is not specifically addressed in the course, and other processor options will be considered. This course also achieved some success in terms of horizontal integration with other courses taken at the same time. Previously this course was a continuation of state machine design from the basic logic design course with an emphasis on specific assembly language programming skills that might later be used in a microprocessor or architecture course. Now it connects to the circuits course since the students create frequency selective circuits and implement them in realtime. It also connects to the data structures course with a register transfer view of queues, stacks, and pointers. CONCLUSIONS This paper describes a strategy for the introduction of some basic concepts of parallel computation suitable for FPGA implementation into a lower division machine organization course. The "Introduction to Digital Systems Architecture" course had already been modified from a traditional machine organization course to incorporate implementation, but not design, of FIR and IIR digital filters to demonstrate the course concepts. The course has been further modified to add some F4C18

5 exposure to concepts of spatial computation that are expected to play an increasingly important role as FPGAs are used in more applications traditional handled by general or special purpose processors. It is expected that the new course design will better prepare students both for advanced digital systems courses and also for signal processing and communications electives. REFERENCES [1] Blahut, R. E. Fast Algorithms for Signal Processing, Addison Wesley, [2] Dick, Chris, Rediscovering Signal Processing: A Configurable Logic Based Approach, Proc. 37 th Asilomar Conference on Signals, Systems, and Computers, Pacific Grove, California, November 912, [3] Foley, J.D. and A. Van dam, Fundamentals of Interactive Computer Graphics, Addison Wesley, Reading Massachusetts, [4] Hennessy, J. L. and D. A. Patterson, Computer Architecture: A Quantitative Approach, 3rd edition, Morgan Kaufmann Publishers, San Francisco CA, [5] The Mathworks, Inc., Using Simulink, [6] McCartney, S. ENIAC, The Triumphs and Tragedies of the World s First Computer, Walker and Company, New York, [7] Parhi, K. K. VLSI Digital Signal Processing Systems, Wiley, [8] Meyr, H, and M. Moeneclaey and S. Fechtel, Digital Communication Receivers Synchronization Channel Estimation and Signal Processing, Wiley, [9] Texas Instruments [10] Wood, Sally L., DSP Second: A Sophomore Level DSP Architecture Course in the Electrical Engineering Core, Proc. SPE 2000: First Signal Processing Education Workshop, Hunt, Texas, 1518 October [11] Xilinx Inc., System Generator for DSP, ato [12] Xilinx Inc., VirtexII Pro Platform FPGAs, II+Pro+FPGAs xn () 7 a0 a a a a 4 yn () Unit delay FIGURE 1: CSD FILTER IMPLEMENTATION OF DVB RECEIVED MATCHED FILTER. F4C19

6 FIGURE 2: 5X5 CONVOLVER (TOP LEVEL) IMPLEMENTED IN SYSTEM GENERATOR. FIGURE 3: SYSTEM GENERATOR IMPLEMENTATION OF A 5X5 FILTER. F4C20

7 Original Image Image Filtered with Edge Operator FIGURE 4: Edge Detector Input And Output Images. F4C21

Implementation of FPGA based Design for Digital Signal Processing

Implementation of FPGA based Design for Digital Signal Processing e-issn 2455 1392 Volume 2 Issue 8, August 2016 pp. 150 156 Scientific Journal Impact Factor : 3.468 http://www.ijcter.com Implementation of FPGA based Design for Digital Signal Processing Neeraj Soni 1,

More information

Introduction. Reading: Chapter 1. Courtesy of Dr. Dansereau, Dr. Brown, Dr. Vranesic, Dr. Harris, and Dr. Choi.

Introduction. Reading: Chapter 1. Courtesy of Dr. Dansereau, Dr. Brown, Dr. Vranesic, Dr. Harris, and Dr. Choi. Introduction Reading: Chapter 1 Courtesy of Dr. Dansereau, Dr. Brown, Dr. Vranesic, Dr. Harris, and Dr. Choi http://csce.uark.edu +1 (479) 575-6043 yrpeng@uark.edu Why study logic design? Obvious reasons

More information

An area optimized FIR Digital filter using DA Algorithm based on FPGA

An area optimized FIR Digital filter using DA Algorithm based on FPGA An area optimized FIR Digital filter using DA Algorithm based on FPGA B.Chaitanya Student, M.Tech (VLSI DESIGN), Department of Electronics and communication/vlsi Vidya Jyothi Institute of Technology, JNTU

More information

An Efficient Method for Implementation of Convolution

An Efficient Method for Implementation of Convolution IAAST ONLINE ISSN 2277-1565 PRINT ISSN 0976-4828 CODEN: IAASCA International Archive of Applied Sciences and Technology IAAST; Vol 4 [2] June 2013: 62-69 2013 Society of Education, India [ISO9001: 2008

More information

Rapid Design of FIR Filters in the SDR- 500 Software Defined Radio Evaluation System using the ASN Filter Designer

Rapid Design of FIR Filters in the SDR- 500 Software Defined Radio Evaluation System using the ASN Filter Designer Rapid Design of FIR Filters in the SDR- 500 Software Defined Radio Evaluation System using the ASN Filter Designer Application note (ASN-AN026) October 2017 (Rev B) SYNOPSIS SDR (Software Defined Radio)

More information

Chapter 6: DSP And Its Impact On Technology. Book: Processor Design Systems On Chip. By Jari Nurmi

Chapter 6: DSP And Its Impact On Technology. Book: Processor Design Systems On Chip. By Jari Nurmi Chapter 6: DSP And Its Impact On Technology Book: Processor Design Systems On Chip Computing For ASICs And FPGAs By Jari Nurmi Slides Prepared by: Omer Anjum Introduction The early beginning g of DSP DSP

More information

A Survey on Power Reduction Techniques in FIR Filter

A Survey on Power Reduction Techniques in FIR Filter A Survey on Power Reduction Techniques in FIR Filter 1 Pooja Madhumatke, 2 Shubhangi Borkar, 3 Dinesh Katole 1, 2 Department of Computer Science & Engineering, RTMNU, Nagpur Institute of Technology Nagpur,

More information

Control Systems Overview REV II

Control Systems Overview REV II Control Systems Overview REV II D R. T A R E K A. T U T U N J I M E C H A C T R O N I C S Y S T E M D E S I G N P H I L A D E L P H I A U N I V E R S I T Y 2 0 1 4 Control Systems The control system is

More information

Design of a High Speed FIR Filter on FPGA by Using DA-OBC Algorithm

Design of a High Speed FIR Filter on FPGA by Using DA-OBC Algorithm Design of a High Speed FIR Filter on FPGA by Using DA-OBC Algorithm Vijay Kumar Ch 1, Leelakrishna Muthyala 1, Chitra E 2 1 Research Scholar, VLSI, SRM University, Tamilnadu, India 2 Assistant Professor,

More information

EFFICIENT FPGA IMPLEMENTATION OF 2 ND ORDER DIGITAL CONTROLLERS USING MATLAB/SIMULINK

EFFICIENT FPGA IMPLEMENTATION OF 2 ND ORDER DIGITAL CONTROLLERS USING MATLAB/SIMULINK EFFICIENT FPGA IMPLEMENTATION OF 2 ND ORDER DIGITAL CONTROLLERS USING MATLAB/SIMULINK Vikas Gupta 1, K. Khare 2 and R. P. Singh 2 1 Department of Electronics and Telecommunication, Vidyavardhani s College

More information

AC : INTERACTIVE LEARNING DISCRETE TIME SIGNALS AND SYSTEMS WITH MATLAB AND TI DSK6713 DSP KIT

AC : INTERACTIVE LEARNING DISCRETE TIME SIGNALS AND SYSTEMS WITH MATLAB AND TI DSK6713 DSP KIT AC 2007-2807: INTERACTIVE LEARNING DISCRETE TIME SIGNALS AND SYSTEMS WITH MATLAB AND TI DSK6713 DSP KIT Zekeriya Aliyazicioglu, California State Polytechnic University-Pomona Saeed Monemi, California State

More information

Globally Asynchronous Locally Synchronous (GALS) Microprogrammed Parallel FIR Filter

Globally Asynchronous Locally Synchronous (GALS) Microprogrammed Parallel FIR Filter IOSR Journal of VLSI and Signal Processing (IOSR-JVSP) Volume 6, Issue 5, Ver. II (Sep. - Oct. 2016), PP 15-21 e-issn: 2319 4200, p-issn No. : 2319 4197 www.iosrjournals.org Globally Asynchronous Locally

More information

Architecture for Canonic RFFT based on Canonic Sign Digit Multiplier and Carry Select Adder

Architecture for Canonic RFFT based on Canonic Sign Digit Multiplier and Carry Select Adder Architecture for Canonic based on Canonic Sign Digit Multiplier and Carry Select Adder Pradnya Zode Research Scholar, Department of Electronics Engineering. G.H. Raisoni College of engineering, Nagpur,

More information

AUTOMATIC IMPLEMENTATION OF FIR FILTERS ON FIELD PROGRAMMABLE GATE ARRAYS

AUTOMATIC IMPLEMENTATION OF FIR FILTERS ON FIELD PROGRAMMABLE GATE ARRAYS AUTOMATIC IMPLEMENTATION OF FIR FILTERS ON FIELD PROGRAMMABLE GATE ARRAYS Satish Mohanakrishnan and Joseph B. Evans Telecommunications & Information Sciences Laboratory Department of Electrical Engineering

More information

Design and Analysis of RNS Based FIR Filter Using Verilog Language

Design and Analysis of RNS Based FIR Filter Using Verilog Language International Journal of Computational Engineering & Management, Vol. 16 Issue 6, November 2013 www..org 61 Design and Analysis of RNS Based FIR Filter Using Verilog Language P. Samundiswary 1, S. Kalpana

More information

MULTIRATE IIR LINEAR DIGITAL FILTER DESIGN FOR POWER SYSTEM SUBSTATION

MULTIRATE IIR LINEAR DIGITAL FILTER DESIGN FOR POWER SYSTEM SUBSTATION MULTIRATE IIR LINEAR DIGITAL FILTER DESIGN FOR POWER SYSTEM SUBSTATION Riyaz Khan 1, Mohammed Zakir Hussain 2 1 Department of Electronics and Communication Engineering, AHTCE, Hyderabad (India) 2 Department

More information

At the end of this course, students should be able to: 1 explain experimental results with theoretical expected outcome

At the end of this course, students should be able to: 1 explain experimental results with theoretical expected outcome COURSE NAME ELECTRONIC FUNDAMENTAL LABORATORY 1 COURSE CODE BENC 1711 COURSE SYNOPSIS This course covers topics in BENE 1133 Principle of Electric and BENT 2133 Electric Circuit Analysis with the following

More information

Digital Signal Processing. VO Embedded Systems Engineering Armin Wasicek WS 2009/10

Digital Signal Processing. VO Embedded Systems Engineering Armin Wasicek WS 2009/10 Digital Signal Processing VO Embedded Systems Engineering Armin Wasicek WS 2009/10 Overview Signals and Systems Processing of Signals Display of Signals Digital Signal Processors Common Signal Processing

More information

CHAPTER 4 FIELD PROGRAMMABLE GATE ARRAY IMPLEMENTATION OF FIVE LEVEL CASCADED MULTILEVEL INVERTER

CHAPTER 4 FIELD PROGRAMMABLE GATE ARRAY IMPLEMENTATION OF FIVE LEVEL CASCADED MULTILEVEL INVERTER 87 CHAPTER 4 FIELD PROGRAMMABLE GATE ARRAY IMPLEMENTATION OF FIVE LEVEL CASCADED MULTILEVEL INVERTER 4.1 INTRODUCTION The Field Programmable Gate Array (FPGA) is a high performance data processing general

More information

Early Adopter : Multiprocessor Programming in the Undergraduate Program. NSF/TCPP Curriculum: Early Adoption at the University of Central Florida

Early Adopter : Multiprocessor Programming in the Undergraduate Program. NSF/TCPP Curriculum: Early Adoption at the University of Central Florida Early Adopter : Multiprocessor Programming in the Undergraduate Program NSF/TCPP Curriculum: Early Adoption at the University of Central Florida Narsingh Deo Damian Dechev Mahadevan Vasudevan Department

More information

Innovative Approach Architecture Designed For Realizing Fixed Point Least Mean Square Adaptive Filter with Less Adaptation Delay

Innovative Approach Architecture Designed For Realizing Fixed Point Least Mean Square Adaptive Filter with Less Adaptation Delay Innovative Approach Architecture Designed For Realizing Fixed Point Least Mean Square Adaptive Filter with Less Adaptation Delay D.Durgaprasad Department of ECE, Swarnandhra College of Engineering & Technology,

More information

AREA EFFICIENT DISTRIBUTED ARITHMETIC DISCRETE COSINE TRANSFORM USING MODIFIED WALLACE TREE MULTIPLIER

AREA EFFICIENT DISTRIBUTED ARITHMETIC DISCRETE COSINE TRANSFORM USING MODIFIED WALLACE TREE MULTIPLIER American Journal of Applied Sciences 11 (2): 180-188, 2014 ISSN: 1546-9239 2014 Science Publication doi:10.3844/ajassp.2014.180.188 Published Online 11 (2) 2014 (http://www.thescipub.com/ajas.toc) AREA

More information

Multiplier Design and Performance Estimation with Distributed Arithmetic Algorithm

Multiplier Design and Performance Estimation with Distributed Arithmetic Algorithm Multiplier Design and Performance Estimation with Distributed Arithmetic Algorithm M. Suhasini, K. Prabhu Kumar & P. Srinivas Department of Electronics & Comm. Engineering, Nimra College of Engineering

More information

A FFT/IFFT Soft IP Generator for OFDM Communication System

A FFT/IFFT Soft IP Generator for OFDM Communication System A FFT/IFFT Soft IP Generator for OFDM Communication System Tsung-Han Tsai, Chen-Chi Peng and Tung-Mao Chen Department of Electrical Engineering, National Central University Chung-Li, Taiwan Abstract: -

More information

Keywords: Adaptive filtering, LMS algorithm, Noise cancellation, VHDL Design, Signal to noise ratio (SNR), Convergence Speed.

Keywords: Adaptive filtering, LMS algorithm, Noise cancellation, VHDL Design, Signal to noise ratio (SNR), Convergence Speed. Implementation of Efficient Adaptive Noise Canceller using Least Mean Square Algorithm Mr.A.R. Bokey, Dr M.M.Khanapurkar (Electronics and Telecommunication Department, G.H.Raisoni Autonomous College, India)

More information

FPGA IMPLEMENTATION OF DIGITAL PREDISTORTION LINEARIZERS FOR WIDEBAND POWER AMPLIFIERS

FPGA IMPLEMENTATION OF DIGITAL PREDISTORTION LINEARIZERS FOR WIDEBAND POWER AMPLIFIERS FPGA IMPLEMENTATION OF DIGITAL PREDISTORTION LINEARIZERS FOR WIDEBAND POWER AMPLIFIERS Navid Lashkarian, Signal Processing Division, Xilinx Inc., San Jose, USA, navid.lashkarian@xilinx.com, Chris Dick,

More information

Mahendra Engineering College, Namakkal, Tamilnadu, India.

Mahendra Engineering College, Namakkal, Tamilnadu, India. Implementation of Modified Booth Algorithm for Parallel MAC Stephen 1, Ravikumar. M 2 1 PG Scholar, ME (VLSI DESIGN), 2 Assistant Professor, Department ECE Mahendra Engineering College, Namakkal, Tamilnadu,

More information

FPGA Implementation of Adaptive Noise Canceller

FPGA Implementation of Adaptive Noise Canceller Khalil: FPGA Implementation of Adaptive Noise Canceller FPGA Implementation of Adaptive Noise Canceller Rafid Ahmed Khalil Department of Mechatronics Engineering Aws Hazim saber Department of Electrical

More information

Audio Sample Rate Conversion in FPGAs

Audio Sample Rate Conversion in FPGAs Audio Sample Rate Conversion in FPGAs An efficient implementation of audio algorithms in programmable logic. by Philipp Jacobsohn Field Applications Engineer Synplicity eutschland GmbH philipp@synplicity.com

More information

Data Word Length Reduction for Low-Power DSP Software

Data Word Length Reduction for Low-Power DSP Software EE382C: LITERATURE SURVEY, APRIL 2, 2004 1 Data Word Length Reduction for Low-Power DSP Software Kyungtae Han Abstract The increasing demand for portable computing accelerates the study of minimizing power

More information

Implementing Logic with the Embedded Array

Implementing Logic with the Embedded Array Implementing Logic with the Embedded Array in FLEX 10K Devices May 2001, ver. 2.1 Product Information Bulletin 21 Introduction Altera s FLEX 10K devices are the first programmable logic devices (PLDs)

More information

CHAPTER 1 INTRODUCTION

CHAPTER 1 INTRODUCTION CHAPTER 1 INTRODUCTION 1.1 Project Background High speed multiplication is another critical function in a range of very large scale integration (VLSI) applications. Multiplications are expensive and slow

More information

Area Efficient and Low Power Reconfiurable Fir Filter

Area Efficient and Low Power Reconfiurable Fir Filter 50 Area Efficient and Low Power Reconfiurable Fir Filter A. UMASANKAR N.VASUDEVAN N.Kirubanandasarathy Research scholar St.peter s university, ECE, Chennai- 600054, INDIA Dean (Engineering and Technology),

More information

VLSI Implementation of Image Processing Algorithms on FPGA

VLSI Implementation of Image Processing Algorithms on FPGA International Journal of Electronic and Electrical Engineering. ISSN 0974-2174 Volume 3, Number 3 (2010), pp. 139--145 International Research Publication House http://www.irphouse.com VLSI Implementation

More information

[Devi*, 5(4): April, 2016] ISSN: (I2OR), Publication Impact Factor: 3.785

[Devi*, 5(4): April, 2016] ISSN: (I2OR), Publication Impact Factor: 3.785 IJESRT INTERNATIONAL JOURNAL OF ENGINEERING SCIENCES & RESEARCH TECHNOLOGY DESIGN OF HIGH SPEED FIR FILTER ON FPGA BY USING MULTIPLEXER ARRAY OPTIMIZATION IN DA-OBC ALGORITHM Palepu Mohan Radha Devi, Vijay

More information

Video Enhancement Algorithms on System on Chip

Video Enhancement Algorithms on System on Chip International Journal of Scientific and Research Publications, Volume 2, Issue 4, April 2012 1 Video Enhancement Algorithms on System on Chip Dr.Ch. Ravikumar, Dr. S.K. Srivatsa Abstract- This paper presents

More information

VLSI Implementation of Digital Down Converter (DDC)

VLSI Implementation of Digital Down Converter (DDC) Volume-7, Issue-1, January-February 2017 International Journal of Engineering and Management Research Page Number: 218-222 VLSI Implementation of Digital Down Converter (DDC) Shaik Afrojanasima 1, K Vijaya

More information

A New High Speed Low Power Performance of 8- Bit Parallel Multiplier-Accumulator Using Modified Radix-2 Booth Encoded Algorithm

A New High Speed Low Power Performance of 8- Bit Parallel Multiplier-Accumulator Using Modified Radix-2 Booth Encoded Algorithm A New High Speed Low Power Performance of 8- Bit Parallel Multiplier-Accumulator Using Modified Radix-2 Booth Encoded Algorithm V.Sandeep Kumar Assistant Professor, Indur Institute Of Engineering & Technology,Siddipet

More information

Implementation of OFDM Based on FPGA

Implementation of OFDM Based on FPGA 2012 International Conference on Image, Vision and Computing (ICIVC 2012) IPCSIT vol. 50 (2012) (2012) IACSIT Press, Singapore DOI: 10.7763/IPCSIT.2012.V50.55 Implementation of OFDM Based on FPGA Zhiwei

More information

Fixed Point Lms Adaptive Filter Using Partial Product Generator

Fixed Point Lms Adaptive Filter Using Partial Product Generator Fixed Point Lms Adaptive Filter Using Partial Product Generator Vidyamol S M.Tech Vlsi And Embedded System Ma College Of Engineering, Kothamangalam,India vidyas.saji@gmail.com Abstract The area and power

More information

A Low-Power Broad-Bandwidth Noise Cancellation VLSI Circuit Design for In-Ear Headphones

A Low-Power Broad-Bandwidth Noise Cancellation VLSI Circuit Design for In-Ear Headphones A Low-Power Broad-Bandwidth Noise Cancellation VLSI Circuit Design for In-Ear Headphones Abstract: Conventional active noise cancelling (ANC) headphones often perform well in reducing the lowfrequency

More information

ECEn 487 Digital Signal Processing Laboratory. Lab 3 FFT-based Spectrum Analyzer

ECEn 487 Digital Signal Processing Laboratory. Lab 3 FFT-based Spectrum Analyzer ECEn 487 Digital Signal Processing Laboratory Lab 3 FFT-based Spectrum Analyzer Due Dates This is a three week lab. All TA check off must be completed by Friday, March 14, at 3 PM or the lab will be marked

More information

A Multi-Level Curriculum in Digital Instrumentation and Control based on Field Programmable Gate Array Technology

A Multi-Level Curriculum in Digital Instrumentation and Control based on Field Programmable Gate Array Technology A Multi-Level Curriculum in Digital Instrumentation and Control based on Field Programmable Gate Array Technology Omar Elkeelany 1 [Mohamed Abdelrahman 2 ] Abstract Currently, on one hand courses in digital

More information

Laboratory set-up for Real-Time study of Electric Drives with Integrated Interfaces for Test and Measurement

Laboratory set-up for Real-Time study of Electric Drives with Integrated Interfaces for Test and Measurement Laboratory set-up for Real-Time study of Electric Drives with Integrated Interfaces for Test and Measurement Fong Mak, Ram Sundaram, Varun Santhaseelan, and Sunil Tandle Gannon University, mak001@gannon.edu,

More information

Design of Digital FIR Filter using Modified MAC Unit

Design of Digital FIR Filter using Modified MAC Unit Design of Digital FIR Filter using Modified MAC Unit M.Sathya 1, S. Jacily Jemila 2, S.Chitra 3 1, 2, 3 Assistant Professor, Department Of ECE, Prince Dr K Vasudevan College Of Engineering And Technology

More information

Using Soft Multipliers with Stratix & Stratix GX

Using Soft Multipliers with Stratix & Stratix GX Using Soft Multipliers with Stratix & Stratix GX Devices November 2002, ver. 2.0 Application Note 246 Introduction Traditionally, designers have been forced to make a tradeoff between the flexibility of

More information

INTRODUCTION. In the industrial applications, many three-phase loads require a. supply of Variable Voltage Variable Frequency (VVVF) using fast and

INTRODUCTION. In the industrial applications, many three-phase loads require a. supply of Variable Voltage Variable Frequency (VVVF) using fast and 1 Chapter 1 INTRODUCTION 1.1. Introduction In the industrial applications, many three-phase loads require a supply of Variable Voltage Variable Frequency (VVVF) using fast and high-efficient electronic

More information

Design and Performance Analysis of 64 bit Multiplier using Carry Save Adder and its DSP Application using Cadence

Design and Performance Analysis of 64 bit Multiplier using Carry Save Adder and its DSP Application using Cadence Design and Performance Analysis of 64 bit Multiplier using Carry Save Adder and its DSP Application using Cadence Krishna Naik Dungavath Assistant Professor, Dept. of ECE, PVKKIT, Anantapuramu,, Andhra

More information

REAL TIME DIGITAL SIGNAL PROCESSING. Introduction

REAL TIME DIGITAL SIGNAL PROCESSING. Introduction REAL TIME DIGITAL SIGNAL Introduction Why Digital? A brief comparison with analog. PROCESSING Seminario de Electrónica: Sistemas Embebidos Advantages The BIG picture Flexibility. Easily modifiable and

More information

Low-Power Multipliers with Data Wordlength Reduction

Low-Power Multipliers with Data Wordlength Reduction Low-Power Multipliers with Data Wordlength Reduction Kyungtae Han, Brian L. Evans, and Earl E. Swartzlander, Jr. Dept. of Electrical and Computer Engineering The University of Texas at Austin Austin, TX

More information

Design and Implementation of Parallel Micro-programmed FIR Filter Using Efficient Multipliers on FPGA

Design and Implementation of Parallel Micro-programmed FIR Filter Using Efficient Multipliers on FPGA 2018 IJSRST Volume 4 Issue 2 Print ISSN: 2395-6011 Online ISSN: 2395-602X Themed Section: Science and Technology Design and Implementation of Parallel Micro-programmed FIR Filter Using Efficient Multipliers

More information

Part One. Efficient Digital Filters COPYRIGHTED MATERIAL

Part One. Efficient Digital Filters COPYRIGHTED MATERIAL Part One Efficient Digital Filters COPYRIGHTED MATERIAL Chapter 1 Lost Knowledge Refound: Sharpened FIR Filters Matthew Donadio Night Kitchen Interactive What would you do in the following situation?

More information

Channelization and Frequency Tuning using FPGA for UMTS Baseband Application

Channelization and Frequency Tuning using FPGA for UMTS Baseband Application Channelization and Frequency Tuning using FPGA for UMTS Baseband Application Prof. Mahesh M.Gadag Communication Engineering, S. D. M. College of Engineering & Technology, Dharwad, Karnataka, India Mr.

More information

Option 1: A programmable Digital (FIR) Filter

Option 1: A programmable Digital (FIR) Filter Design Project Your design project is basically a module filter. A filter is basically a weighted sum of signals. The signals (input) may be related, e.g. a delayed versions of each other in time, e.g.

More information

Proc. IEEE Intern. Conf. on Application Specific Array Processors, (Eds. Capello et. al.), IEEE Computer Society Press, 1995, 76-84

Proc. IEEE Intern. Conf. on Application Specific Array Processors, (Eds. Capello et. al.), IEEE Computer Society Press, 1995, 76-84 Proc. EEE ntern. Conf. on Application Specific Array Processors, (Eds. Capello et. al.), EEE Computer Society Press, 1995, 76-84 Session 2: Architectures 77 toning speed is affected by the huge amount

More information

Design and Implementation of High Speed Carry Select Adder

Design and Implementation of High Speed Carry Select Adder Design and Implementation of High Speed Carry Select Adder P.Prashanti Digital Systems Engineering (M.E) ECE Department University College of Engineering Osmania University, Hyderabad, Andhra Pradesh -500

More information

JDT LOW POWER FIR FILTER ARCHITECTURE USING ACCUMULATOR BASED RADIX-2 MULTIPLIER

JDT LOW POWER FIR FILTER ARCHITECTURE USING ACCUMULATOR BASED RADIX-2 MULTIPLIER JDT-003-2013 LOW POWER FIR FILTER ARCHITECTURE USING ACCUMULATOR BASED RADIX-2 MULTIPLIER 1 Geetha.R, II M Tech, 2 Mrs.P.Thamarai, 3 Dr.T.V.Kirankumar 1 Dept of ECE, Bharath Institute of Science and Technology

More information

Design of Area and Power Efficient FIR Filter Using Truncated Multiplier Technique

Design of Area and Power Efficient FIR Filter Using Truncated Multiplier Technique Design of Area and Power Efficient FIR Filter Using Truncated Multiplier Technique TALLURI ANUSHA *1, and D.DAYAKAR RAO #2 * Student (Dept of ECE-VLSI), Sree Vahini Institute of Science and Technology,

More information

CHAPTER 2 FIR ARCHITECTURE FOR THE FILTER BANK OF SPEECH PROCESSOR

CHAPTER 2 FIR ARCHITECTURE FOR THE FILTER BANK OF SPEECH PROCESSOR 22 CHAPTER 2 FIR ARCHITECTURE FOR THE FILTER BANK OF SPEECH PROCESSOR 2.1 INTRODUCTION A CI is a device that can provide a sense of sound to people who are deaf or profoundly hearing-impaired. Filters

More information

A Fixed-Width Modified Baugh-Wooley Multiplier Using Verilog

A Fixed-Width Modified Baugh-Wooley Multiplier Using Verilog A Fixed-Width Modified Baugh-Wooley Multiplier Using Verilog K.Durgarao, B.suresh, G.Sivakumar, M.Divaya manasa Abstract Digital technology has advanced such that there is an increased need for power efficient

More information

Design and Implementation of Digit Serial Fir Filter

Design and Implementation of Digit Serial Fir Filter International Journal of Emerging Engineering Research and Technology Volume 3, Issue 11, November 2015, PP 15-22 ISSN 2349-4395 (Print) & ISSN 2349-4409 (Online) Design and Implementation of Digit Serial

More information

International Journal of Scientific and Technical Advancements ISSN:

International Journal of Scientific and Technical Advancements ISSN: FPGA Implementation and Hardware Analysis of LMS Algorithm Derivatives: A Case Study on Performance Evaluation Aditya Bali 1#, Rasmeet kour 2, Sumreti Gupta 3, Sameru Sharma 4 1 Department of Electronics

More information

Performance Analysis of FIR Filter Design Using Reconfigurable Mac Unit

Performance Analysis of FIR Filter Design Using Reconfigurable Mac Unit Volume 4 Issue 4 December 2016 ISSN: 2320-9984 (Online) International Journal of Modern Engineering & Management Research Website: www.ijmemr.org Performance Analysis of FIR Filter Design Using Reconfigurable

More information

DSP Design Lecture 1. Introduction and DSP Basics. Fredrik Edman, PhD

DSP Design Lecture 1. Introduction and DSP Basics. Fredrik Edman, PhD DSP Design Lecture 1 Introduction and DSP Basics Fredrik Edman, PhD fredrik.edman@eit.lth.se Lecturers Fredrik Edman (course responsible) Mail: fredrik.edman@eit.lth.se Room E:2538 Mojtaba Mahdavi (exercises

More information

Design of FIR Filter on FPGAs using IP cores

Design of FIR Filter on FPGAs using IP cores Design of FIR Filter on FPGAs using IP cores Apurva Singh Chauhan 1, Vipul Soni 2 1,2 Assistant Professor, Electronics & Communication Engineering Department JECRC UDML College of Engineering, JECRC Foundation,

More information

Lab 3 FFT based Spectrum Analyzer

Lab 3 FFT based Spectrum Analyzer ECEn 487 Digital Signal Processing Laboratory Lab 3 FFT based Spectrum Analyzer Due Dates This is a three week lab. All TA check off must be completed prior to the beginning of class on the lab book submission

More information

Subra Ganesan DSP 1.

Subra Ganesan DSP 1. DSP 1 Subra Ganesan Professor, Computer Science and Engineering Associate Director, Product Development and Manufacturing Center, Oakland University, Rochester, MI 48309 Email: ganesan@oakland.edu Topics

More information

On Built-In Self-Test for Adders

On Built-In Self-Test for Adders On Built-In Self-Test for s Mary D. Pulukuri and Charles E. Stroud Dept. of Electrical and Computer Engineering, Auburn University, Alabama Abstract - We evaluate some previously proposed test approaches

More information

ECE 124 Digital Circuits and Systems Winter 2011 Introduction Calendar Description:

ECE 124 Digital Circuits and Systems Winter 2011 Introduction Calendar Description: ECE 124 Digital Circuits and Systems Winter 2011 Introduction Calendar Description: Number systems. Switching algebra. Hardware description languages. Simplification of Boolean functions. Combinational

More information

Stratix Filtering Reference Design

Stratix Filtering Reference Design Stratix Filtering Reference Design December 2004, ver. 3.0 Application Note 245 Introduction The filtering reference designs provided in the DSP Development Kit, Stratix Edition, and in the DSP Development

More information

Realization of 8x8 MIMO-OFDM design system using FPGA veritex 5

Realization of 8x8 MIMO-OFDM design system using FPGA veritex 5 Realization of 8x8 MIMO-OFDM design system using FPGA veritex 5 Bharti Gondhalekar, Rajesh Bansode, Geeta Karande, Devashree Patil Abstract OFDM offers high spectral efficiency and resilience to multipath

More information

Lesson 7. Digital Signal Processors

Lesson 7. Digital Signal Processors Lesson 7 Digital Signal Processors Instructional Objectives After going through this lesson the student would learn o Architecture of a Real time Signal Processing Platform o Different Errors introduced

More information

SDR Applications using VLSI Design of Reconfigurable Devices

SDR Applications using VLSI Design of Reconfigurable Devices 2018 IJSRST Volume 4 Issue 2 Print ISSN: 2395-6011 Online ISSN: 2395-602X Themed Section: Science and Technology SDR Applications using VLSI Design of Reconfigurable Devices P. A. Lovina 1, K. Aruna Manjusha

More information

ARM BASED WAVELET TRANSFORM IMPLEMENTATION FOR EMBEDDED SYSTEM APPLİCATİONS

ARM BASED WAVELET TRANSFORM IMPLEMENTATION FOR EMBEDDED SYSTEM APPLİCATİONS ARM BASED WAVELET TRANSFORM IMPLEMENTATION FOR EMBEDDED SYSTEM APPLİCATİONS 1 FEDORA LIA DIAS, 2 JAGADANAND G 1,2 Department of Electrical Engineering, National Institute of Technology, Calicut, India

More information

SIGNED PIPELINED MULTIPLIER USING HIGH SPEED COMPRESSORS

SIGNED PIPELINED MULTIPLIER USING HIGH SPEED COMPRESSORS INTERNATIONAL JOURNAL OF RESEARCH IN COMPUTER APPLICATIONS AND ROBOTICS ISSN 2320-7345 SIGNED PIPELINED MULTIPLIER USING HIGH SPEED COMPRESSORS 1 T.Thomas Leonid, 2 M.Mary Grace Neela, and 3 Jose Anand

More information

Experiment # 4. Frequency Modulation

Experiment # 4. Frequency Modulation ECE 416 Fall 2002 Experiment # 4 Frequency Modulation 1 Purpose In Experiment # 3, a modulator and demodulator for AM were designed and built. In this experiment, another widely used modulation technique

More information

Evolution of DSP Processors. Kartik Kariya EE, IIT Bombay

Evolution of DSP Processors. Kartik Kariya EE, IIT Bombay Evolution of DSP Processors Kartik Kariya EE, IIT Bombay Agenda Expected features of DSPs Brief overview of early DSPs Multi-issue DSPs Case Study: VLIW based Processor (SPXK5) for Mobile Applications

More information

DESIGN OF INTELLIGENT PID CONTROLLER BASED ON PARTICLE SWARM OPTIMIZATION IN FPGA

DESIGN OF INTELLIGENT PID CONTROLLER BASED ON PARTICLE SWARM OPTIMIZATION IN FPGA DESIGN OF INTELLIGENT PID CONTROLLER BASED ON PARTICLE SWARM OPTIMIZATION IN FPGA S.Karthikeyan 1 Dr.P.Rameshbabu 2,Dr.B.Justus Robi 3 1 S.Karthikeyan, Research scholar JNTUK., Department of ECE, KVCET,Chennai

More information

IJCSIET--International Journal of Computer Science information and Engg., Technologies ISSN

IJCSIET--International Journal of Computer Science information and Engg., Technologies ISSN An efficient add multiplier operator design using modified Booth recoder 1 I.K.RAMANI, 2 V L N PHANI PONNAPALLI 2 Assistant Professor 1,2 PYDAH COLLEGE OF ENGINEERING & TECHNOLOGY, Visakhapatnam,AP, India.

More information

Implementation of an IFFT for an Optical OFDM Transmitter with 12.1 Gbit/s

Implementation of an IFFT for an Optical OFDM Transmitter with 12.1 Gbit/s Implementation of an IFFT for an Optical OFDM Transmitter with 12.1 Gbit/s Michael Bernhard, Joachim Speidel Universität Stuttgart, Institut für achrichtenübertragung, 7569 Stuttgart E-Mail: bernhard@inue.uni-stuttgart.de

More information

Design of Adjustable Reconfigurable Wireless Single Core

Design of Adjustable Reconfigurable Wireless Single Core IOSR Journal of Electronics and Communication Engineering (IOSR-JECE) e-issn: 2278-2834,p- ISSN: 2278-8735. Volume 6, Issue 2 (May. - Jun. 2013), PP 51-55 Design of Adjustable Reconfigurable Wireless Single

More information

CHAPTER 5 IMPLEMENTATION OF MULTIPLIERS USING VEDIC MATHEMATICS

CHAPTER 5 IMPLEMENTATION OF MULTIPLIERS USING VEDIC MATHEMATICS 49 CHAPTER 5 IMPLEMENTATION OF MULTIPLIERS USING VEDIC MATHEMATICS 5.1 INTRODUCTION TO VHDL VHDL stands for VHSIC (Very High Speed Integrated Circuits) Hardware Description Language. The other widely used

More information

Multi-core Platforms for

Multi-core Platforms for 20 JUNE 2011 Multi-core Platforms for Immersive-Audio Applications Course: Advanced Computer Architectures Teacher: Prof. Cristina Silvano Student: Silvio La Blasca 771338 Introduction on Immersive-Audio

More information

High School PLTW Introduction to Engineering Design Curriculum

High School PLTW Introduction to Engineering Design Curriculum Grade 9th - 12th, 1 Credit Elective Course Prerequisites: Algebra 1A High School PLTW Introduction to Engineering Design Curriculum Course Description: Students use a problem-solving model to improve existing

More information

VLSI IMPLEMENTATION OF MODIFIED DISTRIBUTED ARITHMETIC BASED LOW POWER AND HIGH PERFORMANCE DIGITAL FIR FILTER Dr. S.Satheeskumaran 1 K.

VLSI IMPLEMENTATION OF MODIFIED DISTRIBUTED ARITHMETIC BASED LOW POWER AND HIGH PERFORMANCE DIGITAL FIR FILTER Dr. S.Satheeskumaran 1 K. VLSI IMPLEMENTATION OF MODIFIED DISTRIBUTED ARITHMETIC BASED LOW POWER AND HIGH PERFORMANCE DIGITAL FIR FILTER Dr. S.Satheeskumaran 1 K. Sasikala 2 1 Professor, Department of Electronics and Communication

More information

AC : THE UBIQUITOUS MICROCONTROLLER IN MECHANICAL ENGINEERING: MEASUREMENT SYSTEMS

AC : THE UBIQUITOUS MICROCONTROLLER IN MECHANICAL ENGINEERING: MEASUREMENT SYSTEMS AC 8-1513: THE UBIQUITOUS MICROCONTROLLER IN MECHANICAL ENGINEERING: MEASUREMENT SYSTEMS Michael Holden, California Maritime Academy Michael Holden teaches in the department of Mechanical Engineering at

More information

International Journal of Advanced Research in Computer Science and Software Engineering

International Journal of Advanced Research in Computer Science and Software Engineering Volume 2, Issue 8, August 2012 ISSN: 2277 128X International Journal of Advanced Research in Computer Science and Software Engineering Research Paper Available online at: www.ijarcsse.com Implementation

More information

Area Efficient Fft/Ifft Processor for Wireless Communication

Area Efficient Fft/Ifft Processor for Wireless Communication IOSR Journal of VLSI and Signal Processing (IOSR-JVSP) Volume 4, Issue 3, Ver. III (May-Jun. 2014), PP 17-21 e-issn: 2319 4200, p-issn No. : 2319 4197 Area Efficient Fft/Ifft Processor for Wireless Communication

More information

Research Statement. Sorin Cotofana

Research Statement. Sorin Cotofana Research Statement Sorin Cotofana Over the years I ve been involved in computer engineering topics varying from computer aided design to computer architecture, logic design, and implementation. In the

More information

Building a comprehensive lab sequence for an undergraduate mechatronics program

Building a comprehensive lab sequence for an undergraduate mechatronics program Building a comprehensive lab sequence for an undergraduate mechatronics program Tom Lee Ph.D., Chief Education Officer, Quanser MECHATRONICS Motivation The global engineering academic community is witnessing

More information

AutoBench 1.1. software benchmark data book.

AutoBench 1.1. software benchmark data book. AutoBench 1.1 software benchmark data book Table of Contents Angle to Time Conversion...2 Basic Integer and Floating Point...4 Bit Manipulation...5 Cache Buster...6 CAN Remote Data Request...7 Fast Fourier

More information

SPIRO SOLUTIONS PVT LTD

SPIRO SOLUTIONS PVT LTD VLSI S.NO PROJECT CODE TITLE YEAR ANALOG AMS(TANNER EDA) 01 ITVL01 20-Mb/s GFSK Modulator Based on 3.6-GHz Hybrid PLL With 3-b DCO Nonlinearity Calibration and Independent Delay Mismatch Control 02 ITVL02

More information

EECS150 - Digital Design Lecture 28 Course Wrap Up. Recap 1

EECS150 - Digital Design Lecture 28 Course Wrap Up. Recap 1 EECS150 - Digital Design Lecture 28 Course Wrap Up Dec. 5, 2013 Prof. Ronald Fearing Electrical Engineering and Computer Sciences University of California, Berkeley (slides courtesy of Prof. John Wawrzynek)

More information

Efficient Multi-Operand Adders in VLSI Technology

Efficient Multi-Operand Adders in VLSI Technology Efficient Multi-Operand Adders in VLSI Technology K.Priyanka M.Tech-VLSI, D.Chandra Mohan Assistant Professor, Dr.S.Balaji, M.E, Ph.D Dean, Department of ECE, Abstract: This paper presents different approaches

More information

June 10, :03 vra23151_ch01 Sheet number 1 Page number 1 black. chapter. Design Concepts. 1. e2 e4, c7 c6

June 10, :03 vra23151_ch01 Sheet number 1 Page number 1 black. chapter. Design Concepts. 1. e2 e4, c7 c6 June 10, 2002 11:03 vra23151_ch01 Sheet number 1 Page number 1 black chapter 1 Design Concepts 1. e2 e4, c7 c6 1 June 10, 2002 11:03 vra23151_ch01 Sheet number 2 Page number 2 black 2 CHAPTER 1 Design

More information

Index Terms. Adaptive filters, Reconfigurable filter, circuit optimization, fixed-point arithmetic, least mean square (LMS) algorithms. 1.

Index Terms. Adaptive filters, Reconfigurable filter, circuit optimization, fixed-point arithmetic, least mean square (LMS) algorithms. 1. DESIGN AND IMPLEMENTATION OF HIGH PERFORMANCE ADAPTIVE FILTER USING LMS ALGORITHM P. ANJALI (1), Mrs. G. ANNAPURNA (2) M.TECH, VLSI SYSTEM DESIGN, VIDYA JYOTHI INSTITUTE OF TECHNOLOGY (1) M.TECH, ASSISTANT

More information

High Speed Low Power Operations for FFT Using Reversible Vedic Multipliers

High Speed Low Power Operations for FFT Using Reversible Vedic Multipliers High Speed Low Power Operations for FFT Using Reversible Vedic Multipliers Malugu.Divya Student of M.Tech, ECE Department (VLSI), Geethanjali College of Engineering & Technology JNTUH, India. Mrs. B. Sreelatha

More information

Performance Analysis of a 64-bit signed Multiplier with a Carry Select Adder Using VHDL

Performance Analysis of a 64-bit signed Multiplier with a Carry Select Adder Using VHDL Performance Analysis of a 64-bit signed Multiplier with a Carry Select Adder Using VHDL E.Deepthi, V.M.Rani, O.Manasa Abstract: This paper presents a performance analysis of carrylook-ahead-adder and carry

More information

A Hardware Efficient FIR Filter for Wireless Sensor Networks

A Hardware Efficient FIR Filter for Wireless Sensor Networks International Journal of Innovative Research in Computer Science & Technology (IJIRCST) ISSN: 2347-5552, Volume-2, Issue-3, May 204 A Hardware Efficient FIR Filter for Wireless Sensor Networks Ch. A. Swamy,

More information

An Efficient Design of Parallel Pipelined FFT Architecture

An Efficient Design of Parallel Pipelined FFT Architecture www.ijecs.in International Journal Of Engineering And Computer Science ISSN:2319-7242 Volume 3, Issue 10 October, 2014 Page No. 8926-8931 An Efficient Design of Parallel Pipelined FFT Architecture Serin

More information