Energy Reduction of Ultra-Low Voltage VLSI Circuits by Digit-Serial Architectures

Size: px
Start display at page:

Download "Energy Reduction of Ultra-Low Voltage VLSI Circuits by Digit-Serial Architectures"

Transcription

1 Energy Reduction of Ultra-Low Voltage VLSI Circuits by Digit-Serial Architectures Muhammad Umar Karim Khan Smart Sensor Architecture Lab, KAIST Daejeon, South Korea Chong Min Kyung Smart Sensor Architecture Lab, KAIST Daejeon, South Korea Abstract Ultra-low voltage VLSI designs are gaining widespread attention due to the requirements of minimum energy consuming motes. Leakage energy is a considerable part of the overall energy consumed in the ultra-low voltage domain. This paper deals with the overall energy reduction in ultra-low power systems by the use of digit-serial implementations. Leakage energy is reduced by the reduction of hardware in digitserial implementations. However, overhead circuitry adds to the energy budget. It has been shown in this paper that digit-serial implementations do reduce the overall energy consumption in the ultra-low power VLSI circuits compared to bit-serial and wordparallel implementations. 73% and 92% reduction in energy per clock cycle was obtained with an 8-bit adder at a source voltage of.7v compared to the bit-serial and word-parallel implementations respectively. I. INTRODUCTION Low energy VLSI designs have gained widespread recognition in industries as well as research communities. The global cry for energy efficient systems and the advent of portable devices have influenced the industry to shift from paradigm of design for performance to design for battery lifetime. Energy is becoming a primary design constraint as most of consumer and military systems have developed into standalone devices run by a battery []-[3]. Since the energy storage capabilities of Li-ion batteries have saturated quite some time ago, the focus has shifted towards VLSI designs with minimum energy consumption. Future nodes for sensing applications are to have very small sizes (of the order of a millimeter) []. The size of the node is limited by the size of the battery supplying power to it. With the passage of time the desired performance of VLSI chips has increased due to the increasingly complex tasks that computers need to perform. Microprocessors and other VLSI systems operating at high frequencies for both real-time and non-real-time applications consume a lot of dynamic energy. With the humungous increase in number of transistors over a single chip according to the Moore s law, the leakage energy has also increased vehemently. There are different methods of providing energy to portable nodes. Battery-operated systems use a pre-charged battery. The duration of operation of the node depends on the battery lifetime. Energy-scavenging nodes draw energy from the environment and store it in a battery. Battery-less nodes are purely dependent on the energy scavenged by the node as these nodes don t have any mechanism to store energy. Both increase in battery size and energy scavenging capability increase the manufacturing cost as well as the size of the node, therefore it becomes impertinent to come up with strategies for lower energy consumption in VLSI designs [4]. Different methods have been presented in literature for energy minimization. One of the most commonly employed methods is voltage scaling. Reduction in voltage supply provides energy reduction but at the cost of performance. The demands for low energy have increased to an extent that systems with a lifetime of decades is desired. It is for this reason the reduction in voltage levels have reached a new low level by employing sub-threshold voltage in CMOS VLSI designs termed as ultra-low voltage (ULV). Even though energy consumption decreases but the performance also decreases drastically in ULV circuits [5]. The author in [6] has provided an algorithm to design digitserial architectures from bit-serial implementations. In our work the utility of digit-serial implementations has been discussed in reducing energy consumption at ULV VLSI circuits. The rest of the paper is structured as follows. Section II discusses the energy consumption model of CMOS VLSI circuits at ULV. The effect of folding on energy consumption is provided in section III. Energy-Delay analysis is described in section IV. Experimental results are demonstrated in section V. II. ENERGY CONSUMPTION AT ULV The total energy consumed in a CMOS VLSI circuit comprises two components, the dynamic energy and the leakage energy. Dynamic energy is consumed due to the switching activity in the CMOS devices. Surge currents flow through the CMOS devices whenever the input is switching from low to high or vice versa. Leakage energy is consumed due to the flow of leakage current in the transistors at no switching activity in the circuit. There are three leakage currents in the CMOS device. The junction leakage is due to the flow of current through the reverse-biased drain-to-body and source-to-body junctions. Gate leakage is due to the flow of charged particles between the body and the gate through the silicon dioxide. With technology scaling, the width of silicon dioxide is decreasing and the gate leakage is becoming a /3/$3. 23 IEEE 32

2 significant constituent in the overall leakage current. Drain leakage is due to the flow of current from the drain to the source even when no channel is present and is the major part of leakage current. The dynamic and leakage energy consumed per clock cycle are given in expression () and (2) respectively [4], [5], [7]. () (2) And the total energy consumed is the sum of dynamic energy and leakage energy given as Or (3) Here is the activity rate, is the total capacitance, is the supply voltage, is the total leakage current and is the clock period. can be also considered as the propagation delay of the circuit as the clock period and propagation delay are kept the same for maximum speed. From [8] the value of propagation delay is given by expression in (4) (4) 2 The total current flowing through a CMOS transistor with no body-biasing is given by [9]. (5) Here is the DIBL coefficient and is the strength of the transistor. In off state and the off-current is given by. (6) From [8] the ON current of the CMOS transistor at ULV is modelled as (7) Substituting the value of ON current from equation (7) in equation (4) and putting the resulting value of in equation (3) the total energy consumed at ULV per clock cycle is given by 2 Decreasing the supply voltage decreases the dynamic energy as per the square law, however there is an exponential increase in the static energy per clock cycle with it. Thus there exists an optimal value of supply voltage at which the total energy is minimized. The situation is more vividly presented in figure. It shows the total energy consumed for a single stage 6nm CMOS inverter driving a load capacitance of 5 (8) ff using (8). The variation in is almost negligible with voltage and it reaches a peak value of 29nA at supply voltage of.8v. The activity rate is. It is clear from figure that the minimum energy point lies approximately at 25mV. The total energy consumed per clock cycle presented in figure is obtained by putting values in equation (8). For a 6-bit ripple carry adder the minimum energy point is demonstrated using 6nm technology through spice simulations in figure 2. Activity rate of. has been used in the experiment. Energy per cycle(fj) Supply.2 Voltage (volts).3.4 Figure : Total Energy Consumed per clock cycle for a single inverter using Equation (8) Energy per clock cycle (fj) Supply Voltage.6 (volts).8 Figure 2: Total Energy consumed per clock cycle for a 6- bit ripple carry adder Figure 2 shows that the minimum energy point for the adder is somewhat insensitive to supply voltage ranging between.25v to V. For smaller supply voltage the leakage energy is dominating which is decreasing with the increase in voltage. At comparatively larger voltage the dynamic energy overshadows the leakage energy. The energy consumed and the corresponding minimum clock cycle period is presented in figure 3. It clearly shows that for a small reduction in clock period from 3.7ns to 2.52ns the energy is increased approximately seven times. From a design perspective it is better to use a clock period of 3.7ns. 33

3 III. DIGIT-SERIAL IMPLEMENTATIONS AND ENERGY AT ULV In word-parallel implementations all the bits of a word are processed at the same time by hardware working in parallel whereas each bit is processed separately by the same hardware unit in bit-serial implementations. Word-parallel implementations process all the bits of a word in a single clock cycle, however bit-serial implementations process only a single bit during a single clock cycle. Bit-serial and wordparallel architectures can be considered as extremities as shown in the figure 4. Digit-serial Energy (fj) Clock period (ns) Figure 3: Energy vs Minimum Clock period for 6 bit ripple carry adder If is the number of digits in a word,, the overall energy consumed per clock cycle in the digit-serial implementation is given by Here is the energy consumed per clock cycle of the digit-serial implementation, is the additional capacitance due to overhead circuitry, is the leakage current in the word-parallel implementation and is the clock period of the digit-serial implementation. The clock period of the digit-serial implementation is substantially smaller compared to the word-parallel implementation due to smaller critical paths. is the capacitance of the word-parallel implementation which needs to be charged during every clock cycle. This capacitance is reduced by a factor of due to reduction of hardware units. Comparing equation (9) with (3) and analysing the second term of (9) we see that the leakage is reduced by the factor. However, the third term of (9) shows leakage due to the overhead circuitry. The coefficient associated with the leakage due to the overhead circuitry is an increasing function of, denoted as as with increasing the total number of bits to be processed per cycle is reduced, increasing the overhead circuitry required. is the ratio of off-current in the overhead circuit of the digit serial implementation to the off-current in word-parallel implementation of a circuit. In order to compare the energy consumption with the wordparallel implementation we have to consider the total energy consumed by the digit-serial circuits for producing a single sample which is given by the expression in (). (9) () Figure 4: Indication of Bit-serial, Digit-serial and Wordparallel Implementations for a word length of N implementations are at the intermediate levels. They process more bits per clock cycle as compared to the bit-serial implementations but not as many as the word-parallel implementations. In this paper we have adapted the techniques provided in [6] where unfolding transformation is used to design digit-serial implementations from bit-serial ones. Digit-serial systems use less hardware compared to wordparallel systems. Therefore it is expected that the digit-serial implementations will have less leakage current compared to word-serial systems. While shifting from a word-parallel circuit to digit-serial circuit overhead circuitry is added to the system which increases the overall energy consumed per clock cycle by the system. During the design of digit-serial structures two different situations arise. In the first one the bit-processing unit is considered to be very complex whereas the second situation deals with simpler ones. Both of these situations are discussed in the following. A. Complex bit-processing unit In applications using complex units for bit processing, the propagation delay of the overhead circuitry for digit-serial implementation can be ignored compared to the propagation delay of the word-parallel implementation. If is the propagation delay of the word parallel implementation then for complex bit processing units equation () holds / () In other words it takes equal amount of time for the wordparallel circuit and the digit-serial circuit to produce a single sample. Substituting the value of equation () in equation (9) 34

4 (2) From () the energy required to produce a single sample then becomes (3) If (3) shows the total energy consumed in the word-parallel implementation, comparison of (3) with (3) reveals the following inequality for energy reduction (4) Inequality (4) shows that the additional dynamic and leakage energy consumed per clock cycle of the overhead circuit should not overshadow the M-times reduction in leakage of the digit-serial implementation. Further simplification of (4) leads to (5) B. Simple bit-processing units If the complexity of the bit processing unit is relatively smaller, then the propagation delay of the overhead circuitry for the digit-serial implementations becomes a considerable portion of the propagation delay of the word-parallel system. As the number of bits produced per clock cycle is decreased, the complexity of the overhead circuit increases and so does the overhead delay. The delay in this situation is depicted by (6) Using this value of delay and substituting in (9) the total energy consumed per clock cycle of the digit-serial implementation becomes (7) Following the same pattern as the previous subsection a reduction in overall energy consumed is possible if the inequality in (8) is satisfied. (8) Simplification of (8) leads to (9) IV. EXPERIMENTAL RESULTS In our experiments we have used an eight bit adder consisting of eight full adders. Digit-serial implementations of the adder are based on [6] with the constituent full adders switched through multiplexers. The propagation delay of the 8-bit adder is comparable to overhead circuitry. The overhead circuitry is made up of FIFOs, multiplexers and registers. FIFOs with eight bits and different clock periods at input and output are used to provide appropriate bits to the bit processing units. Multiplexers are used for switching. The counters act as select bits for the multiplexers. Registers store the intermediate results. The complexity with respect to the number of bits produced per clock cycle cannot be represented mathematically. Therefore and are represented graphically. g(m) g(m) M voltage=.25v voltage=.3v voltage=.4v voltage=.5v voltage=.6v voltage=.7v Figure 5: The function g(m) against the M (total digits per word). Refer to (6) for g(m) Bits per Clock cycle voltage=.25 V voltage=.3v voltage=.4v voltage=v voltage=.6v Figure 6: The function g(m) against bits generated per clock cycle. Refer to (6) for g(m). 35

5 f(8/3) Supply voltage (V) Figure 7: f(8/3) at different voltages Figure 5 shows the function against different values of. It is seen that the variation in is very small at different supply voltages as the change in voltage is very small. Figure 6 shows the values of with respect to bits per cycle produced. The function is the ration of the offcurrent in the overhead circuit to the off-current in the word- f(m) M Voltage=. 6V Voltage=. 3V Figure 8: f(m) (ratio of off-current in overhead circuit to off-current in word-parallel implementation) against M (ratio of word-length to bits generated per clock cycle) for supply voltage of.6v and.3v. parallel implementation for a given value of. Figure 6 shows the values of at different values of supply voltage. It is clear that the function varies w. r. t. the supply voltage however the variation is so small that its effect is almost negligible as indicated by figure 8 where is plotted for supply voltages of.6v and.3v. The reason for the decrease in the value of w. r. t. increase in supply voltage is due to the implementation of the overhead circuit. The full-adders are based on CMOS NAND gates which are made up of three MOSFETs in series whereas the overhead circuit includes multiplexers made up of four MOSFETs connected in series. Due to stacking effect the increase in leakage current of the overhead circuit is lesser compared to the increase in full-adders with the same increase in supply voltage. Therefore the ratio tends to decrease with increasing source voltage. Figure 9 gives the function with the number of bits generated per clock cycle. In case of an 8-bit adder is linear w. r. t.. The total energy consumed per clock cycle against and bits produced per clock cycle at different values of supply voltage is presented in figure and respectively Bits 4 per cycle f(m) Figure 9: f(m) (ratio of off-current in overhead circuit to off-current in word-parallel implementation) against number of bits generated per clock cycle at.6v Energy per sample (fj) M.25V.3V.4V.5V.6V.7V Figure : Energy per sample at different supply voltages against M (total digits per word) 36

6 Energy per sample (fj) Bits per clock cycle.25v.3v.4v.5v.6v.7v Figure : Energy per sample at different supply voltages against bits produced per clock cycle From figure it is clear that the total energy consumed per clock cycle is minimum at two bits per clock cycle (M=8/2) for all values of supply voltages. Thus the energy consumed in ULP circuits can be further reduced by shifting from wordparallel or bit-serial implementations to digit-serial implementations. The set of energy delay curves are provided in figure. The pareto-optimal energy delay points also lie at a digit-serial implementation with number of bits produced per clock cycle equal to four. VI. REFERENCES [] B. Warneke, M. Last, B. Liebowitz and K. Pister, Smart dust: communicating with a cubic millimetre computer, Computer, vol. 34, January. [2] R. Sarpeshkar, Ultra Low Power Bioelectronics: Fundamentals, Biomedical Applications, and Bio-Inspired Systems, Cambridge University Press, 2. [3] W. Weber, J. Rabaey and E. Aarts, Ambient Intelligence, Springer 5 [4] M. Aliotto, Ultra-Low Power VLSI Circuit Design Demystified and Explained: A Tutorial, IEEE Transactions on Circuits and Systems, Vol. 59, January 22. [5] J. Rabaey, Low-Power Design Essentials, Springer 9. [6] K. Parhi, A Systematic Approach for Design of Digit- Serial Signal Processing Architectures, IEEE Transactions on Circuits and Systems, Vol. 38, April 99. [7] N. Weste, D. Harris, CMOS VLSI Design, Addison- Wesley 4. [8] A. Wang, B. Calhoun, A. Chandrakasan, Sub-threshold Design for Ultra Low-Power Systems, Springer 6. [9] M. Aliotto, Understanding DC behaviour of subthreshold CMOS logic through closed-form analysis, IEEE transactions of Circuits and Systems, Vol. 57, July 2. Energy per sample (fj) Delay per sample (ns) Figure 2: Energy-Delay curves for different number of bits produced per clock cycle V. CONCLUSION In this paper an analysis of digit-serial implementations has been performed from the perspective of energy reduction. It has been shown that using digit-serial implementations in the ultra-low power VLSI systems, the overall energy consumed per sample can be reduced. With the reduction in hardware the leakage energy is reduced which is a formidable portion of the overall energy in ultra-low voltage circuits. 37

Low Power Realization of Subthreshold Digital Logic Circuits using Body Bias Technique

Low Power Realization of Subthreshold Digital Logic Circuits using Body Bias Technique Indian Journal of Science and Technology, Vol 9(5), DOI: 1017485/ijst/2016/v9i5/87178, Februaru 2016 ISSN (Print) : 0974-6846 ISSN (Online) : 0974-5645 Low Power Realization of Subthreshold Digital Logic

More information

NOVEL OSCILLATORS IN SUBTHRESHOLD REGIME

NOVEL OSCILLATORS IN SUBTHRESHOLD REGIME NOVEL OSCILLATORS IN SUBTHRESHOLD REGIME Neeta Pandey 1, Kirti Gupta 2, Rajeshwari Pandey 3, Rishi Pandey 4, Tanvi Mittal 5 1, 2,3,4,5 Department of Electronics and Communication Engineering, Delhi Technological

More information

Sub-threshold Leakage Current Reduction Using Variable Gate Oxide Thickness (VGOT) MOSFET

Sub-threshold Leakage Current Reduction Using Variable Gate Oxide Thickness (VGOT) MOSFET Microelectronics and Solid State Electronics 2013, 2(2): 24-28 DOI: 10.5923/j.msse.20130202.02 Sub-threshold Leakage Current Reduction Using Variable Gate Oxide Thickness (VGOT) MOSFET Keerti Kumar. K

More information

Low Power Design for Systems on a Chip. Tutorial Outline

Low Power Design for Systems on a Chip. Tutorial Outline Low Power Design for Systems on a Chip Mary Jane Irwin Dept of CSE Penn State University (www.cse.psu.edu/~mji) Low Power Design for SoCs ASIC Tutorial Intro.1 Tutorial Outline Introduction and motivation

More information

Leakage Current Modeling in PD SOI Circuits

Leakage Current Modeling in PD SOI Circuits Leakage Current Modeling in PD SOI Circuits Mini Nanua David Blaauw Chanhee Oh Sun MicroSystems University of Michigan Nascentric Inc. mini.nanua@sun.com blaauw@umich.edu chanhee.oh@nascentric.com Abstract

More information

Subthreshold Voltage High-k CMOS Devices Have Lowest Energy and High Process Tolerance

Subthreshold Voltage High-k CMOS Devices Have Lowest Energy and High Process Tolerance Subthreshold Voltage High-k CMOS Devices Have Lowest Energy and High Process Tolerance Muralidharan Venkatasubramanian Auburn University vmn0001@auburn.edu Vishwani D. Agrawal Auburn University vagrawal@eng.auburn.edu

More information

Temperature-adaptive voltage tuning for enhanced energy efficiency in ultra-low-voltage circuits

Temperature-adaptive voltage tuning for enhanced energy efficiency in ultra-low-voltage circuits Microelectronics Journal 39 (2008) 1714 1727 www.elsevier.com/locate/mejo Temperature-adaptive voltage tuning for enhanced energy efficiency in ultra-low-voltage circuits Ranjith Kumar, Volkan Kursun Department

More information

1. Short answer questions. (30) a. What impact does increasing the length of a transistor have on power and delay? Why? (6)

1. Short answer questions. (30) a. What impact does increasing the length of a transistor have on power and delay? Why? (6) CSE 493/593 Test 2 Fall 2011 Solution 1. Short answer questions. (30) a. What impact does increasing the length of a transistor have on power and delay? Why? (6) Decreasing of W to make the gate slower,

More information

A Review of Clock Gating Techniques in Low Power Applications

A Review of Clock Gating Techniques in Low Power Applications A Review of Clock Gating Techniques in Low Power Applications Saurabh Kshirsagar 1, Dr. M B Mali 2 P.G. Student, Department of Electronics and Telecommunication, SCOE, Pune, Maharashtra, India 1 Head of

More information

Design of Low power and Area Efficient 8-bit ALU using GDI Full Adder and Multiplexer

Design of Low power and Area Efficient 8-bit ALU using GDI Full Adder and Multiplexer Design of Low power and Area Efficient 8-bit ALU using GDI Full Adder and Multiplexer Mr. Y.Satish Kumar M.tech Student, Siddhartha Institute of Technology & Sciences. Mr. G.Srinivas, M.Tech Associate

More information

Comparative Study of Different Low Power Design Techniques for Reduction of Leakage Power in CMOS VLSI Circuits

Comparative Study of Different Low Power Design Techniques for Reduction of Leakage Power in CMOS VLSI Circuits Comparative Study of Different Low Power Design Techniques for Reduction of Leakage Power in CMOS VLSI Circuits P. S. Aswale M. E. VLSI & Embedded Systems Department of E & TC Engineering SITRC, Nashik,

More information

Low Power Design of Successive Approximation Registers

Low Power Design of Successive Approximation Registers Low Power Design of Successive Approximation Registers Rabeeh Majidi ECE Department, Worcester Polytechnic Institute, Worcester MA USA rabeehm@ece.wpi.edu Abstract: This paper presents low power design

More information

A NEW APPROACH FOR DELAY AND LEAKAGE POWER REDUCTION IN CMOS VLSI CIRCUITS

A NEW APPROACH FOR DELAY AND LEAKAGE POWER REDUCTION IN CMOS VLSI CIRCUITS http:// A NEW APPROACH FOR DELAY AND LEAKAGE POWER REDUCTION IN CMOS VLSI CIRCUITS Ruchiyata Singh 1, A.S.M. Tripathi 2 1,2 Department of Electronics and Communication Engineering, Mangalayatan University

More information

Digital Electronics Part II - Circuits

Digital Electronics Part II - Circuits Digital Electronics Part II - Circuits Dr. I. J. Wassell Gates from Transistors 1 Introduction Logic circuits are non-linear, consequently we will introduce a graphical technique for analysing such circuits

More information

Pramoda N V Department of Electronics and Communication Engineering, MCE Hassan Karnataka India

Pramoda N V Department of Electronics and Communication Engineering, MCE Hassan Karnataka India Advanced Low Power CMOS Design to Reduce Power Consumption in CMOS Circuit for VLSI Design Pramoda N V Department of Electronics and Communication Engineering, MCE Hassan Karnataka India Abstract: Low

More information

Design & Analysis of Low Power Full Adder

Design & Analysis of Low Power Full Adder 1174 Design & Analysis of Low Power Full Adder Sana Fazal 1, Mohd Ahmer 2 1 Electronics & communication Engineering Integral University, Lucknow 2 Electronics & communication Engineering Integral University,

More information

Sub-threshold Logic Circuit Design using Feedback Equalization

Sub-threshold Logic Circuit Design using Feedback Equalization Sub-threshold Logic Circuit esign using Feedback Equalization Mahmoud Zangeneh and Ajay Joshi Electrical and Computer Engineering epartment, Boston University, Boston, MA, USA {zangeneh, joshi}@bu.edu

More information

A gate sizing and transistor fingering strategy for

A gate sizing and transistor fingering strategy for LETTER IEICE Electronics Express, Vol.9, No.19, 1550 1555 A gate sizing and transistor fingering strategy for subthreshold CMOS circuits Morteza Nabavi a) and Maitham Shams b) Department of Electronics,

More information

Low Power High Performance 10T Full Adder for Low Voltage CMOS Technology Using Dual Threshold Voltage

Low Power High Performance 10T Full Adder for Low Voltage CMOS Technology Using Dual Threshold Voltage Low Power High Performance 10T Full Adder for Low Voltage CMOS Technology Using Dual Threshold Voltage Surbhi Kushwah 1, Shipra Mishra 2 1 M.Tech. VLSI Design, NITM College Gwalior M.P. India 474001 2

More information

LOW POWER VLSI TECHNIQUES FOR PORTABLE DEVICES Sandeep Singh 1, Neeraj Gupta 2, Rashmi Gupta 2

LOW POWER VLSI TECHNIQUES FOR PORTABLE DEVICES Sandeep Singh 1, Neeraj Gupta 2, Rashmi Gupta 2 LOW POWER VLSI TECHNIQUES FOR PORTABLE DEVICES Sandeep Singh 1, Neeraj Gupta 2, Rashmi Gupta 2 1 M.Tech Student, Amity School of Engineering & Technology, India 2 Assistant Professor, Amity School of Engineering

More information

Design and Implementation of Digital CMOS VLSI Circuits Using Dual Sub-Threshold Supply Voltages

Design and Implementation of Digital CMOS VLSI Circuits Using Dual Sub-Threshold Supply Voltages RESEARCH ARTICLE OPEN ACCESS Design and Implementation of Digital CMOS VLSI Circuits Using Dual Sub-Threshold Supply Voltages A. Suvir Vikram *, Mrs. K. Srilakshmi ** And Mrs. Y. Syamala *** * M.Tech,

More information

Implementation of High Performance Carry Save Adder Using Domino Logic

Implementation of High Performance Carry Save Adder Using Domino Logic Page 136 Implementation of High Performance Carry Save Adder Using Domino Logic T.Jayasimha 1, Daka Lakshmi 2, M.Gokula Lakshmi 3, S.Kiruthiga 4 and K.Kaviya 5 1 Assistant Professor, Department of ECE,

More information

Leakage Power Reduction for Logic Circuits Using Variable Body Biasing Technique

Leakage Power Reduction for Logic Circuits Using Variable Body Biasing Technique Leakage Power Reduction for Logic Circuits Using Variable Body Biasing Technique Anjana R 1 and Ajay K Somkuwar 2 Assistant Professor, Department of Electronics and Communication, Dr. K.N. Modi University,

More information

Comparison of High Speed & Low Power Techniques GDI & McCMOS in Full Adder Design

Comparison of High Speed & Low Power Techniques GDI & McCMOS in Full Adder Design International Conference on Multidisciplinary Research & Practice P a g e 625 Comparison of High Speed & Low Power Techniques & in Full Adder Design Shikha Sharma 1, ECE, Geetanjali Institute of Technical

More information

EEC 216 Lecture #10: Ultra Low Voltage and Subthreshold Circuit Design. Rajeevan Amirtharajah University of California, Davis

EEC 216 Lecture #10: Ultra Low Voltage and Subthreshold Circuit Design. Rajeevan Amirtharajah University of California, Davis EEC 216 Lecture #1: Ultra Low Voltage and Subthreshold Circuit Design Rajeevan Amirtharajah University of California, Davis Opportunities for Ultra Low Voltage Battery Operated and Mobile Systems Wireless

More information

UNIT-II LOW POWER VLSI DESIGN APPROACHES

UNIT-II LOW POWER VLSI DESIGN APPROACHES UNIT-II LOW POWER VLSI DESIGN APPROACHES Low power Design through Voltage Scaling: The switching power dissipation in CMOS digital integrated circuits is a strong function of the power supply voltage.

More information

Low Power 8-Bit ALU Design Using Full Adder and Multiplexer Based on GDI Technique

Low Power 8-Bit ALU Design Using Full Adder and Multiplexer Based on GDI Technique Low Power 8-Bit ALU Design Using Full Adder and Multiplexer Based on GDI Technique Mohd Shahid M.Tech Student Al-Habeeb College of Engineering and Technology. Abstract Arithmetic logic unit (ALU) is an

More information

Performance Evaluation of MISISFET- TCAD Simulation

Performance Evaluation of MISISFET- TCAD Simulation Performance Evaluation of MISISFET- TCAD Simulation Tarun Chaudhary Gargi Khanna Rajeevan Chandel ABSTRACT A novel device n-misisfet with a dielectric stack instead of the single insulator of n-mosfet

More information

Low Power, Area Efficient FinFET Circuit Design

Low Power, Area Efficient FinFET Circuit Design Low Power, Area Efficient FinFET Circuit Design Michael C. Wang, Princeton University Abstract FinFET, which is a double-gate field effect transistor (DGFET), is more versatile than traditional single-gate

More information

ISSN Vol.04, Issue.05, May-2016, Pages:

ISSN Vol.04, Issue.05, May-2016, Pages: ISSN 2322-0929 Vol.04, Issue.05, May-2016, Pages:0332-0336 www.ijvdcs.org Full Subtractor Design of Energy Efficient, Low Power Dissipation Using GDI Technique M. CHAITANYA SRAVANTHI 1, G. RAJESH 2 1 PG

More information

An Overview of Static Power Dissipation

An Overview of Static Power Dissipation An Overview of Static Power Dissipation Jayanth Srinivasan 1 Introduction Power consumption is an increasingly important issue in general purpose processors, particularly in the mobile computing segment.

More information

Leakage Current Analysis

Leakage Current Analysis Current Analysis Hao Chen, Latriese Jackson, and Benjamin Choo ECE632 Fall 27 University of Virginia , , @virginia.edu Abstract Several common leakage current reduction methods such

More information

Minimizing the Sub Threshold Leakage for High Performance CMOS Circuits Using Stacked Sleep Technique

Minimizing the Sub Threshold Leakage for High Performance CMOS Circuits Using Stacked Sleep Technique International Journal of Electrical Engineering. ISSN 0974-2158 Volume 10, Number 3 (2017), pp. 323-335 International Research Publication House http://www.irphouse.com Minimizing the Sub Threshold Leakage

More information

A HIGH SPEED & LOW POWER 16T 1-BIT FULL ADDER CIRCUIT DESIGN BY USING MTCMOS TECHNIQUE IN 45nm TECHNOLOGY

A HIGH SPEED & LOW POWER 16T 1-BIT FULL ADDER CIRCUIT DESIGN BY USING MTCMOS TECHNIQUE IN 45nm TECHNOLOGY A HIGH SPEED & LOW POWER 16T 1-BIT FULL ADDER CIRCUIT DESIGN BY USING MTCMOS TECHNIQUE IN 45nm TECHNOLOGY Jasbir kaur 1, Neeraj Singla 2 1 Assistant Professor, 2 PG Scholar Electronics and Communication

More information

IMPLEMENTATION OF POWER GATING TECHNIQUE IN CMOS FULL ADDER CELL TO REDUCE LEAKAGE POWER AND GROUND BOUNCE NOISE FOR MOBILE APPLICATION

IMPLEMENTATION OF POWER GATING TECHNIQUE IN CMOS FULL ADDER CELL TO REDUCE LEAKAGE POWER AND GROUND BOUNCE NOISE FOR MOBILE APPLICATION International Journal of Electronics, Communication & Instrumentation Engineering Research and Development (IJECIERD) ISSN 2249-684X Vol.2, Issue 3 Sep 2012 97-108 TJPRC Pvt. Ltd., IMPLEMENTATION OF POWER

More information

Total reduction of leakage power through combined effect of Sleep stack and variable body biasing technique

Total reduction of leakage power through combined effect of Sleep stack and variable body biasing technique Total reduction of leakage power through combined effect of Sleep and variable body biasing technique Anjana R 1, Ajay kumar somkuwar 2 Abstract Leakage power consumption has become a major concern for

More information

Extreme Temperature Invariant Circuitry Through Adaptive DC Body Biasing

Extreme Temperature Invariant Circuitry Through Adaptive DC Body Biasing Extreme Temperature Invariant Circuitry Through Adaptive DC Body Biasing W. S. Pitts, V. S. Devasthali, J. Damiano, and P. D. Franzon North Carolina State University Raleigh, NC USA 7615 Email: wspitts@ncsu.edu,

More information

COMPREHENSIVE ANALYSIS OF ENHANCED CARRY-LOOK AHEAD ADDER USING DIFFERENT LOGIC STYLES

COMPREHENSIVE ANALYSIS OF ENHANCED CARRY-LOOK AHEAD ADDER USING DIFFERENT LOGIC STYLES COMPREHENSIVE ANALYSIS OF ENHANCED CARRY-LOOK AHEAD ADDER USING DIFFERENT LOGIC STYLES PSowmya #1, Pia Sarah George #2, Samyuktha T #3, Nikita Grover #4, Mrs Manurathi *1 # BTech,Electronics and Communication,Karunya

More information

Design of low threshold Full Adder cell using CNTFET

Design of low threshold Full Adder cell using CNTFET Design of low threshold Full Adder cell using CNTFET P Chandrashekar 1, R Karthik 1, O Koteswara Sai Krishna 1 and Ardhi Bhavana 1 1 Department of Electronics and Communication Engineering, MLR Institute

More information

DESIGN AND ANALYSIS OF SUB 1-V BANDGAP REFERENCE (BGR) VOLTAGE GENERATORS FOR PICOWATT LSI s.

DESIGN AND ANALYSIS OF SUB 1-V BANDGAP REFERENCE (BGR) VOLTAGE GENERATORS FOR PICOWATT LSI s. http:// DESIGN AND ANALYSIS OF SUB 1-V BANDGAP REFERENCE (BGR) VOLTAGE GENERATORS FOR PICOWATT LSI s. Shivam Mishra 1, K. Suganthi 2 1 Research Scholar in Mech. Deptt, SRM University,Tamilnadu 2 Asst.

More information

Performance Comparison of CMOS and Finfet Based Circuits At 45nm Technology Using SPICE

Performance Comparison of CMOS and Finfet Based Circuits At 45nm Technology Using SPICE RESEARCH ARTICLE OPEN ACCESS Performance Comparison of CMOS and Finfet Based Circuits At 45nm Technology Using SPICE Mugdha Sathe*, Dr. Nisha Sarwade** *(Department of Electrical Engineering, VJTI, Mumbai-19)

More information

Figure.1. Schematic of 4-bit CLA JCHPS Special Issue 9: June Page 101

Figure.1. Schematic of 4-bit CLA JCHPS Special Issue 9: June Page 101 Delay Depreciation and Power efficient Carry Look Ahead Adder using CMOS T. Archana*, K. Arunkumar, A. Hema Malini Department of Electronics and Communication Engineering, Saveetha Engineering College,

More information

A Novel Radiation Tolerant SRAM Design Based on Synergetic Functional Component Separation for Nanoscale CMOS.

A Novel Radiation Tolerant SRAM Design Based on Synergetic Functional Component Separation for Nanoscale CMOS. A Novel Radiation Tolerant SRAM Design Based on Synergetic Functional Component Separation for Nanoscale CMOS. Abstract This paper presents a novel SRAM design for nanoscale CMOS. The new design addresses

More information

A Review Of Conventional And Emerging Power Gating Techniques For Leakage Power Reduction

A Review Of Conventional And Emerging Power Gating Techniques For Leakage Power Reduction A Review Of Conventional And Emerging Power Gating Techniques For Leakage Power Reduction Sandip B. Rahane, A.K. Kureshi, Sachin D. Pable Abstract Leakage power reduction has become one of the top design

More information

Reduce Power Consumption for Digital Cmos Circuits Using Dvts Algoritham

Reduce Power Consumption for Digital Cmos Circuits Using Dvts Algoritham IOSR Journal of Electrical and Electronics Engineering (IOSR-JEEE) e-issn: 2278-1676,p-ISSN: 2320-3331, Volume 10, Issue 5 Ver. II (Sep Oct. 2015), PP 109-115 www.iosrjournals.org Reduce Power Consumption

More information

Jan Rabaey, «Low Powere Design Essentials," Springer tml

Jan Rabaey, «Low Powere Design Essentials, Springer tml Jan Rabaey, «e Design Essentials," Springer 2009 http://web.me.com/janrabaey/lowpoweressentials/home.h tml Dimitrios Soudris, Christian Piguet, and Costas Goutis, Designing CMOS Circuits for Low POwer,

More information

LEAKAGE POWER REDUCTION IN CMOS CIRCUITS USING LEAKAGE CONTROL TRANSISTOR TECHNIQUE IN NANOSCALE TECHNOLOGY

LEAKAGE POWER REDUCTION IN CMOS CIRCUITS USING LEAKAGE CONTROL TRANSISTOR TECHNIQUE IN NANOSCALE TECHNOLOGY LEAKAGE POWER REDUCTION IN CMOS CIRCUITS USING LEAKAGE CONTROL TRANSISTOR TECHNIQUE IN NANOSCALE TECHNOLOGY B. DILIP 1, P. SURYA PRASAD 2 & R. S. G. BHAVANI 3 1&2 Dept. of ECE, MVGR college of Engineering,

More information

Design of Low Power High Speed Adders in McCMOS Technique

Design of Low Power High Speed Adders in McCMOS Technique Design of Low High Speed Adders in McCMOS Technique Shikha Sharma 1, Rajesh Bathija 2, RS. Meena 3, Akanksha Goswami 4 P.G. Student, Department of EC Engineering, Geetanjali Institute of Technical Studies,

More information

Sleepy Keeper Approach for Power Performance Tuning in VLSI Design

Sleepy Keeper Approach for Power Performance Tuning in VLSI Design International Journal of Electronics and Communication Engineering. ISSN 0974-2166 Volume 6, Number 1 (2013), pp. 17-28 International Research Publication House http://www.irphouse.com Sleepy Keeper Approach

More information

Design of Ultra-Low Power PMOS and NMOS for Nano Scale VLSI Circuits

Design of Ultra-Low Power PMOS and NMOS for Nano Scale VLSI Circuits Circuits and Systems, 2015, 6, 60-69 Published Online March 2015 in SciRes. http://www.scirp.org/journal/cs http://dx.doi.org/10.4236/cs.2015.63007 Design of Ultra-Low Power PMOS and NMOS for Nano Scale

More information

DAT175: Topics in Electronic System Design

DAT175: Topics in Electronic System Design DAT175: Topics in Electronic System Design Analog Readout Circuitry for Hearing Aid in STM90nm 21 February 2010 Remzi Yagiz Mungan v1.10 1. Introduction In this project, the aim is to design an adjustable

More information

ESTIMATION OF LEAKAGE POWER IN CMOS DIGITAL CIRCUIT STACKS

ESTIMATION OF LEAKAGE POWER IN CMOS DIGITAL CIRCUIT STACKS ESTIMATION OF LEAKAGE POWER IN CMOS DIGITAL CIRCUIT STACKS #1 MADDELA SURENDER-M.Tech Student #2 LOKULA BABITHA-Assistant Professor #3 U.GNANESHWARA CHARY-Assistant Professor Dept of ECE, B. V.Raju Institute

More information

Novel Buffer Design for Low Power and Less Delay in 45nm and 90nm Technology

Novel Buffer Design for Low Power and Less Delay in 45nm and 90nm Technology Novel Buffer Design for Low Power and Less Delay in 45nm and 90nm Technology 1 Mahesha NB #1 #1 Lecturer Department of Electronics & Communication Engineering, Rai Technology University nbmahesh512@gmail.com

More information

Digital Pulse-Frequency/Pulse-Amplitude Modulator for Improving Efficiency of SMPS Operating Under Light Loads

Digital Pulse-Frequency/Pulse-Amplitude Modulator for Improving Efficiency of SMPS Operating Under Light Loads 006 IEEE COMPEL Workshop, Rensselaer Polytechnic Institute, Troy, NY, USA, July 6-9, 006 Digital Pulse-Frequency/Pulse-Amplitude Modulator for Improving Efficiency of SMPS Operating Under Light Loads Nabeel

More information

Low-Power CMOS VLSI Design

Low-Power CMOS VLSI Design Low-Power CMOS VLSI Design ( 范倫達 ), Ph. D. Department of Computer Science, National Chiao Tung University, Taiwan, R.O.C. Fall, 2017 ldvan@cs.nctu.edu.tw http://www.cs.nctu.tw/~ldvan/ Outline Introduction

More information

ISSN: ISO 9001:2008 Certified International Journal of Engineering and Innovative Technology (IJEIT) Volume 3, Issue 1, July 2013

ISSN: ISO 9001:2008 Certified International Journal of Engineering and Innovative Technology (IJEIT) Volume 3, Issue 1, July 2013 Power Scaling in CMOS Circuits by Dual- Threshold Voltage Technique P.Sreenivasulu, P.khadar khan, Dr. K.Srinivasa Rao, Dr. A.Vinaya babu 1 Research Scholar, ECE Department, JNTU Kakinada, A.P, INDIA.

More information

Implementation of dual stack technique for reducing leakage and dynamic power

Implementation of dual stack technique for reducing leakage and dynamic power Implementation of dual stack technique for reducing leakage and dynamic power Citation: Swarna, KSV, Raju Y, David Solomon and S, Prasanna 2014, Implementation of dual stack technique for reducing leakage

More information

Design of an Energy Efficient, Low Power Dissipation Full Subtractor Using GDI Technique

Design of an Energy Efficient, Low Power Dissipation Full Subtractor Using GDI Technique Design of an Energy Efficient, Low Power Dissipation Full Subtractor Using GDI Technique ABSTRACT: Rammohan Kurugunta M.Tech Student, Department of ECE, Intel Engineering College, Anantapur, Andhra Pradesh,

More information

INTERNATIONAL JOURNAL OF APPLIED ENGINEERING RESEARCH, DINDIGUL Volume 1, No 3, 2010

INTERNATIONAL JOURNAL OF APPLIED ENGINEERING RESEARCH, DINDIGUL Volume 1, No 3, 2010 Low Power CMOS Inverter design at different Technologies Vijay Kumar Sharma 1, Surender Soni 2 1 Department of Electronics & Communication, College of Engineering, Teerthanker Mahaveer University, Moradabad

More information

International Journal of Advanced Research in Computer Science and Software Engineering

International Journal of Advanced Research in Computer Science and Software Engineering Volume 3, Issue 8, August 2013 ISSN: 2277 128X International Journal of Advanced Research in Computer Science and Software Engineering Research Paper Available online at: www.ijarcsse.com A Novel Implementation

More information

A Novel Approach for High Speed and Low Power 4-Bit Multiplier

A Novel Approach for High Speed and Low Power 4-Bit Multiplier IOSR Journal of VLSI and Signal Processing (IOSR-JVSP) ISSN: 2319 4200, ISBN No. : 2319 4197 Volume 1, Issue 3 (Nov. - Dec. 2012), PP 13-26 A Novel Approach for High Speed and Low Power 4-Bit Multiplier

More information

Design and Analysis of Row Bypass Multiplier using various logic Full Adders

Design and Analysis of Row Bypass Multiplier using various logic Full Adders Design and Analysis of Row Bypass Multiplier using various logic Full Adders Dr.R.Naveen 1, S.A.Sivakumar 2, K.U.Abhinaya 3, N.Akilandeeswari 4, S.Anushya 5, M.A.Asuvanti 6 1 Associate Professor, 2 Assistant

More information

Characterization of Variable Gate Oxide Thickness MOSFET with Non-Uniform Oxide Thicknesses for Sub-Threshold Leakage Current Reduction

Characterization of Variable Gate Oxide Thickness MOSFET with Non-Uniform Oxide Thicknesses for Sub-Threshold Leakage Current Reduction 2012 International Conference on Solid-State and Integrated Circuit (ICSIC 2012) IPCSIT vol. 32 (2012) (2012) IACSIT Press, Singapore Characterization of Variable Gate Oxide Thickness MOSFET with Non-Uniform

More information

Area Power and Delay Efficient Carry Select Adder (CSLA) Using Bit Excess Technique

Area Power and Delay Efficient Carry Select Adder (CSLA) Using Bit Excess Technique Area Power and Delay Efficient Carry Select Adder (CSLA) Using Bit Excess Technique G. Sai Krishna Master of Technology VLSI Design, Abstract: In electronics, an adder or summer is digital circuits that

More information

Low Voltage SC Circuit Design with Low - V t MOSFETs

Low Voltage SC Circuit Design with Low - V t MOSFETs Low Voltage SC Circuit Design with Low - V t MOSFETs Seyfi S. azarjani and W. Martin Snelgrove Department of Electronics, Carleton University, Ottawa Canada K1S-56 Tel: (613)763-8473, E-mail: seyfi@doe.carleton.ca

More information

Low Power VLSI CMOS Design. An Image Processing Chip for RGB to HSI Conversion

Low Power VLSI CMOS Design. An Image Processing Chip for RGB to HSI Conversion REPRINT FROM: PROC. OF IRISCH SIGNAL AND SYSTEM CONFERENCE, DERRY, NORTHERN IRELAND, PP.165-172. Low Power VLSI CMOS Design An Image Processing Chip for RGB to HSI Conversion A.Th. Schwarzbacher and J.B.

More information

Integration of Optimized GDI Logic based NOR Gate and Half Adder into PASTA for Low Power & Low Area Applications

Integration of Optimized GDI Logic based NOR Gate and Half Adder into PASTA for Low Power & Low Area Applications Integration of Optimized GDI Logic based NOR Gate and Half Adder into PASTA for Low Power & Low Area Applications M. Sivakumar Research Scholar, ECE Department, SCSVMV University, Kanchipuram, India. Dr.

More information

An Analysis of Novel CMOS Ring Oscillator Using LECTOR Technique with Minimum Leakage

An Analysis of Novel CMOS Ring Oscillator Using LECTOR Technique with Minimum Leakage Available online www.ejaet.com European Journal of Advances in Engineering and Technology, 2017, 4 (1): 44-48 Research Article ISSN: 2394-658X An Analysis of Novel CMOS Ring Oscillator Using LECTOR Technique

More information

Performance Analysis of Energy Efficient and Charge Recovery Adiabatic Techniques for Low Power Design

Performance Analysis of Energy Efficient and Charge Recovery Adiabatic Techniques for Low Power Design IOSR Journal of Engineering (IOSRJEN) e-issn: 2250-3021, p-issn: 2278-8719 Vol. 3, Issue 6 (June. 2013), V1 PP 14-21 Performance Analysis of Energy Efficient and Charge Recovery Adiabatic Techniques for

More information

Comparison of Power Dissipation in inverter using SVL Techniques

Comparison of Power Dissipation in inverter using SVL Techniques Comparison of Power Dissipation in inverter using SVL Techniques K. Kalai Selvi Assistant Professor, Dept. of Electronics & Communication Engineering, Government College of Engineering, Tirunelveli, India

More information

Reduction Of Leakage Current And Power In CMOS Circuits Using Stack Technique

Reduction Of Leakage Current And Power In CMOS Circuits Using Stack Technique International OPEN ACCESS Journal Of Modern Engineering Research (IJMER) Reduction Of Leakage Current And Power In CMOS Circuits Using Stack Technique Mansi Gangele 1, K.Pitambar Patra 2 *(Department Of

More information

Design of a Low Power 5GHz CMOS Radio Frequency Low Noise Amplifier Rakshith Venkatesh

Design of a Low Power 5GHz CMOS Radio Frequency Low Noise Amplifier Rakshith Venkatesh Design of a Low Power 5GHz CMOS Radio Frequency Low Noise Amplifier Rakshith Venkatesh Abstract A 5GHz low power consumption LNA has been designed here for the receiver front end using 90nm CMOS technology.

More information

PROCESS and environment parameter variations in scaled

PROCESS and environment parameter variations in scaled 1078 IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 53, NO. 10, OCTOBER 2006 Reversed Temperature-Dependent Propagation Delay Characteristics in Nanometer CMOS Circuits Ranjith Kumar

More information

Analysis and Design of Low Power Ring Oscillators with Frequency ~ khz

Analysis and Design of Low Power Ring Oscillators with Frequency ~ khz Analysis and Design of Low Power Ring Oscillators with Frequency ~10-100 khz PRESENTED BY: PIYUSH KESHRI 3 rd year Undergraduate Student Indian Institute Of Technology, Kanpur, India University Of Michigan

More information

An energy efficient full adder cell for low voltage

An energy efficient full adder cell for low voltage An energy efficient full adder cell for low voltage Keivan Navi 1a), Mehrdad Maeen 2, and Omid Hashemipour 1 1 Faculty of Electrical and Computer Engineering of Shahid Beheshti University, GC, Tehran,

More information

A Novel Latch design for Low Power Applications

A Novel Latch design for Low Power Applications A Novel Latch design for Low Power Applications Abhilasha Deptt. of Electronics and Communication Engg., FET-MITS Lakshmangarh, Rajasthan (India) K. G. Sharma Suresh Gyan Vihar University, Jagatpura, Jaipur,

More information

Design of Two High Performance 1-Bit CMOS Full Adder Cells

Design of Two High Performance 1-Bit CMOS Full Adder Cells Int. J. Com. Dig. Sys. 2, No., 47-52 (23) 47 International Journal of Computing and Digital Systems -- An International Journal @ 23 UOB CSP, University of Bahrain Design of Two High Performance -Bit CMOS

More information

LOW POWER CMOS CELL STRUCTURES BASED ON ADIABATIC SWITCHING

LOW POWER CMOS CELL STRUCTURES BASED ON ADIABATIC SWITCHING LOW POWER CMOS CELL STRUCTURES BASED ON ADIABATIC SWITCHING Uday Kumar Rajak Electronics & Telecommunication Dept. Columbia Institute of Engineering and Technology,Raipur (India) ABSTRACT The dynamic power

More information

INTRODUCTION TO MOS TECHNOLOGY

INTRODUCTION TO MOS TECHNOLOGY INTRODUCTION TO MOS TECHNOLOGY 1. The MOS transistor The most basic element in the design of a large scale integrated circuit is the transistor. For the processes we will discuss, the type of transistor

More information

Power-Area trade-off for Different CMOS Design Technologies

Power-Area trade-off for Different CMOS Design Technologies Power-Area trade-off for Different CMOS Design Technologies Priyadarshini.V Department of ECE Sri Vishnu Engineering College for Women, Bhimavaram dpriya69@gmail.com Prof.G.R.L.V.N.Srinivasa Raju Head

More information

Design of Single Phase Continuous Clock Signal Set D-FF for Ultra Low Power VLSI Applications

Design of Single Phase Continuous Clock Signal Set D-FF for Ultra Low Power VLSI Applications Design of Single Phase Continuous Clock Signal Set D-FF for Ultra Low Power VLSI Applications K. Kavitha MTech VLSI Design Department of ECE Narsimha Reddy Engineering College JNTU, Hyderabad, INDIA K.

More information

CHAPTER 3 NEW SLEEPY- PASS GATE

CHAPTER 3 NEW SLEEPY- PASS GATE 56 CHAPTER 3 NEW SLEEPY- PASS GATE 3.1 INTRODUCTION A circuit level design technique is presented in this chapter to reduce the overall leakage power in conventional CMOS cells. The new leakage po leepy-

More information

A new 6-T multiplexer based full-adder for low power and leakage current optimization

A new 6-T multiplexer based full-adder for low power and leakage current optimization A new 6-T multiplexer based full-adder for low power and leakage current optimization G. Ramana Murthy a), C. Senthilpari, P. Velrajkumar, and T. S. Lim Faculty of Engineering and Technology, Multimedia

More information

[Singh*, 5(3): March, 2016] ISSN: (I2OR), Publication Impact Factor: 3.785

[Singh*, 5(3): March, 2016] ISSN: (I2OR), Publication Impact Factor: 3.785 IJESRT INTERNATIONAL JOURNAL OF ENGINEERING SCIENCES & RESEARCH TECHNOLOGY COMPARISON OF GDI BASED D FLIP FLOP CIRCUITS USING 90NM AND 180NM TECHNOLOGY Gurwinder Singh*, Ramanjeet Singh ECE Department,

More information

An Optimized Wallace Tree Multiplier using Parallel Prefix Han-Carlson Adder for DSP Processors

An Optimized Wallace Tree Multiplier using Parallel Prefix Han-Carlson Adder for DSP Processors An Optimized Wallace Tree Multiplier using Parallel Prefix Han-Carlson Adder for DSP Processors T.N.Priyatharshne Prof. L. Raja, M.E, (Ph.D) A. Vinodhini ME VLSI DESIGN Professor, ECE DEPT ME VLSI DESIGN

More information

A New network multiplier using modified high order encoder and optimized hybrid adder in CMOS technology

A New network multiplier using modified high order encoder and optimized hybrid adder in CMOS technology Inf. Sci. Lett. 2, No. 3, 159-164 (2013) 159 Information Sciences Letters An International Journal http://dx.doi.org/10.12785/isl/020305 A New network multiplier using modified high order encoder and optimized

More information

MOS CURRENT MODE LOGIC BASED PRIORITY ENCODERS

MOS CURRENT MODE LOGIC BASED PRIORITY ENCODERS MOS CURRENT MODE LOGIC BASED PRIORITY ENCODERS Neeta Pandey 1, Kirti Gupta 2, Stuti Gupta 1, Suman Kumari 1 1 Dept. of Electronics and Communication, Delhi Technological University, New Delhi (India) 2

More information

CHAPTER 1 INTRODUCTION

CHAPTER 1 INTRODUCTION CHAPTER 1 INTRODUCTION 2 1.1 MOTIVATION FOR LOW POWER CIRCUIT DESIGN Low power circuit design has emerged as a principal theme in today s electronics industry. In the past, major concerns among researchers

More information

UNIT-1 Fundamentals of Low Power VLSI Design

UNIT-1 Fundamentals of Low Power VLSI Design UNIT-1 Fundamentals of Low Power VLSI Design Need for Low Power Circuit Design: The increasing prominence of portable systems and the need to limit power consumption (and hence, heat dissipation) in very-high

More information

An Efficient SQRT Architecture of Carry Select Adder Design by HA and Common Boolean Logic PinnikaVenkateswarlu 1, Ragutla Kalpana 2

An Efficient SQRT Architecture of Carry Select Adder Design by HA and Common Boolean Logic PinnikaVenkateswarlu 1, Ragutla Kalpana 2 An Efficient SQRT Architecture of Carry Select Adder Design by HA and Common Boolean Logic PinnikaVenkateswarlu 1, Ragutla Kalpana 2 1 M.Tech student, ECE, Sri Indu College of Engineering and Technology,

More information

Study and Analysis of CMOS Carry Look Ahead Adder with Leakage Power Reduction Approaches

Study and Analysis of CMOS Carry Look Ahead Adder with Leakage Power Reduction Approaches Indian Journal of Science and Technology, Vol 9(17), DOI: 10.17485/ijst/2016/v9i17/93111, May 2016 ISSN (Print) : 0974-6846 ISSN (Online) : 0974-5645 Study and Analysis of CMOS Carry Look Ahead Adder with

More information

Design and Implementation of an Ultra-Low Power High Speed CMOS Logic using Cadence

Design and Implementation of an Ultra-Low Power High Speed CMOS Logic using Cadence Design and Implementation of an Ultra-Low Power High Speed CMOS Logic using Cadence L.Vasanth 1, D. Yokeshwari 2 1 Assistant Professor, 2 PG Scholar, Department of ECE Tejaa Shakthi Institute of Technology

More information

Design of Multiplier using Low Power CMOS Technology

Design of Multiplier using Low Power CMOS Technology Page 203 Design of Multiplier using Low Power CMOS Technology G.Nathiya 1 and M.Balasubramani 2 1 PG Student, Department of ECE, Vivekanandha College of Engineering for Women, India. Email: nathiya.mani94@gmail.com

More information

Ultra Low Power VLSI Design: A Review

Ultra Low Power VLSI Design: A Review International Journal of Emerging Engineering Research and Technology Volume 4, Issue 3, March 2016, PP 11-18 ISSN 2349-4395 (Print) & ISSN 2349-4409 (Online) Ultra Low Power VLSI Design: A Review G.Bharathi

More information

Power Efficient adder Cell For Low Power Bio MedicalDevices

Power Efficient adder Cell For Low Power Bio MedicalDevices IOSR Journal of VLSI and Signal Processing (IOSR-JVSP) Volume 4, Issue 2, Ver. III (Mar-Apr. 2014), PP 39-45 e-issn: 2319 4200, p-issn No. : 2319 4197 Power Efficient adder Cell For Low Power Bio MedicalDevices

More information

A Survey of the Low Power Design Techniques at the Circuit Level

A Survey of the Low Power Design Techniques at the Circuit Level A Survey of the Low Power Design Techniques at the Circuit Level Hari Krishna B Assistant Professor, Department of Electronics and Communication Engineering, Vagdevi Engineering College, Warangal, India

More information

Leakage Power Reduction in CMOS VLSI

Leakage Power Reduction in CMOS VLSI Leakage Power Reduction in CMOS VLSI 1 Subrat Mahalik Department of ECE, Mallareddy Engineering College (Autonomous), Hyderabad, India 2 M. Bhanu Teja Department of ECE, Mallareddy Engineering College

More information

Design of Low Power Wake-up Receiver for Wireless Sensor Network

Design of Low Power Wake-up Receiver for Wireless Sensor Network Design of Low Power Wake-up Receiver for Wireless Sensor Network Nikita Patel Dept. of ECE Mody University of Sci. & Tech. Lakshmangarh (Rajasthan), India Satyajit Anand Dept. of ECE Mody University of

More information

Low Power Design of Schmitt Trigger Based SRAM Cell Using NBTI Technique

Low Power Design of Schmitt Trigger Based SRAM Cell Using NBTI Technique Low Power Design of Schmitt Trigger Based SRAM Cell Using NBTI Technique M.Padmaja 1, N.V.Maheswara Rao 2 Post Graduate Scholar, Gayatri Vidya Parishad College of Engineering for Women, Affiliated to JNTU,

More information

EE 42/100 Lecture 23: CMOS Transistors and Logic Gates. Rev A 4/15/2012 (10:39 AM) Prof. Ali M. Niknejad

EE 42/100 Lecture 23: CMOS Transistors and Logic Gates. Rev A 4/15/2012 (10:39 AM) Prof. Ali M. Niknejad A. M. Niknejad University of California, Berkeley EE 100 / 42 Lecture 23 p. 1/16 EE 42/100 Lecture 23: CMOS Transistors and Logic Gates ELECTRONICS Rev A 4/15/2012 (10:39 AM) Prof. Ali M. Niknejad University

More information