Contributions to Reconfigurable Filter Banks and Transmultiplexers

Size: px
Start display at page:

Download "Contributions to Reconfigurable Filter Banks and Transmultiplexers"

Transcription

1 Linköping Studies in Science and Technology Dissertation No Contributions to Reconfigurable Filter Banks and Transmultiplexers Amir Eghbali Division of Electronics Systems Department of Electrical Engineering Linköping University, SE Linköping, Sweden WWW: Linköping 2010

2 Contributions to Reconfigurable Filter Banks and Transmultiplexers c 2010 Amir Eghbali Department of Electrical Engineering, Linköping University, SE Linköping, Sweden. ISBN ISSN Printed by LiU-Tryck, Linköping, Sweden 2010

3 to my family...

4

5 Abstract A current focus among communication engineers is to design flexible radio systems to handle services among different telecommunication standards. Thus, lowcost multimode terminals will be crucial building blocks for future generations of multimode communications. Here, different bandwidths, from different telecommunication standards, must be supported. This can be done using multimode transmultiplexers (TMUXs) which allow different users to share a common channel in a time-varying manner. These TMUXs allow bandwidth-on-demand. Each user occupies a specific portion of the channel whose location and width may vary with time. Another focus among communication engineers is to provide various wideband services accessible to everybody everywhere. Here, satellites with high-gain spot beam antennas, on-board signal processing, and switching will be a major complementary part of future digital communication systems. Satellites provide a global coverage and customers only need to install a satellite terminal and subscribe to the service. Efficient utilization of the available limited frequency spectrum, calls for on-board signal processing to perform flexible frequency-band reallocation(ffbr). In an integrated communication system, TMUXs can operate on-ground whereas FFBR networks can operate on-board. Thus, successful design of dynamic communication systems requires flexible digital signal processing structures. This flexibility (or reconfigurability) must not impose restrictions on the hardware and, ideally, it must come at the expense of simple software modifications. In other words, the system is based on a hardware platform whose parameters can be modified without a need for hardware changes. This thesis outlines the design and realization of reconfigurable TMUX and FFBR structures which allow dynamic communication scenarios with simple software reconfigurations. In both structures, the system parameters are determined in advance. For these parameters, the required filter design problems are solved only once. Dynamic communications, with users having different time-varying bandwidths, are then supported by adjusting some multipliers, commutators, or a channel switch. These adjustments do not require hardware changes and can be performed online. However, the filter design problem is solved offline. The thesis provides various illustrative examples and it also discusses possible applications of the proposed structures in the context of other communication scenarios, e.g., cognitive radios. i

6

7 Acknowledgments I would like to thank my supervisor Professor Håkan Johansson for giving me the opportunity to work as a Ph.D student. However, I should not forget to sincerely thank him for his patience, inspiration, and guidance in helping me deal with my problems. I would also like to thank my co-supervisor Docent Per Löwenborg for discussions and feedback. Special thanks have to go to all members of my family for their support. Not all problems can be solved by computers, books, and discussions, etc. One mostly requires emotional support and encouragement from beloved ones. God has blessed me with the best of these! I just do not know how to be thankful... I will never be able to do this... The former and present colleagues at the Division of Electronics Systems, Department of Electrical Engineering, Linköping University have created a very friendly environment. They always kindly do their best to help you. You never feel alone even if you come from another country and do not speak fluent Swedish. Actually, you feel it like being at home! Last but not least, I should thank all my friends whom have made my stay in Sweden pleasant. Amir Eghbali Linköping, September 2010 iii

8

9 Contents 1 Introduction Motivation and Problem Formulation Thesis Outline Basics of Digital Filters FIR Filters IIR Filters Note on Stability Polyphase Decomposition Special Classes of Filters Complementary Filters Linear-Phase FIR Filters Nyquist (Mth-band) Filters Hilbert Transformers FIR Filter Design Basics of Multirate Signal Processing Sampling Rate Conversion: Conventional Noble Identity Sampling Rate Conversion: Farrow Structure v

10 Contents Contents Design of the Farrow Structure General M-Channel FBs Filter Design for Modulated FBs General M-Channel TMUXs Mathematical Representation of TMUXs Duality of FBs and TMUXs Approximation of PR in Redundant TMUXs Flexible Frequency-Band Reallocation For Real Signals Introduction Contribution and Relation to Previous Work Choice of the FFBR Network MIMO FFBR Network Configuration FFBR Network Based on Variable Oversampled Complex Modulated FBs Efficient Realization of the FFBR Network Alternative I Complex Versus Real Sampling Arithmetic Complexity: Hilbert Transformer Arithmetic Complexity: DFT with Complex Inputs Arithmetic Complexity: Complex FFBR Network Alternative II Arithmetic Complexity: Real FFBR Network Comparison Arithmetic Complexity: Complex Versus Real FFBR Arithmetic Complexity: Alternative I Versus Alternative II Performance: Alternative I Versus Alternative II Concluding Remarks Measure of Complexity Applicability of Alternatives I and II Filter Bank Design A Multimode Transmultiplexer Structure Introduction Problem Formulation Multimode TMUX Structure Channel Sampling Rates Sampling Rate Conversion Subcarrier Frequencies Filter Design Example Implementation and Design Complexity Issues TMUX Application Analysis Using Multirate Building Blocks Conclusion vi

11 Contents Contents 6 A Class of Multimode Transmultiplexers Based on the Farrow Structure Introduction Contribution and Relation to Previous Work Prerequisites Problem Formulation Some General Issues Proposed Integer SRC Multimode TMUX Variable Integer SRC Using the Farrow Structure Approximation of Perfect Reconstruction (PR) Filter Design Filter Design Parameters Filter Design Criteria Proposed Rational SRC Multimode TMUX TMUX Illustration Efficient Variable Rational SRC Approximation of PR TMUX Performance Effects of B p on the SRC Error Direct Filter Design Design Example Conclusion Reconfigurable Nonuniform Transmultiplexers Using Uniform Modulated Filter Banks Introduction Contribution and Relation to Previous Work Problem Formulation Nonuniform TMUXs Using Modulated FBs System Parameters Channel Sampling Periods TMUX Illustration Choice of GB Choice of Center Frequency Implementation Cost Choice of M and ρ Filter Design Restrictions Comparison with Existing Multimode TMUXs Flexibility Spectrum Efficiency Direct or Indirect Design Conclusion vii

12 Contents Contents 8 Applications to Cognitive Radios Introduction Approach I: Use of DFBR Networks Structure of the DFBR Network User Bandwidth Versus Multiplexing Bandwidth Reconfigurability Modifications Approach II: Use of TMUXs Structure of the TMUX Reconfigurability Modifications Choice of Frequency Shifters Conclusion Conclusion and Future Work 129 A Derivation of (6.23) 131 B Derivation of (6.35) 135 viii

13 Acronyms and Abbreviations Acronyms and Abbreviations ADC AFB CLS CMFB DAC DFBA DFBR DFT ESA EVM FB FDM FIR FFBR FBR GB GRB GSM ICI IDFT IIR ISI IS-54 IS-136 LPTV LS LTI MF/TDMA MIMO MDFT MSE NPR PFBR PR RF QAM SFB SISO SRC TMUX WLAN Analog to Digital Converter Analysis Filter Bank Constrained Least-Squares Cosine Modulated Filter Bank Digital to Analog Converter Dynamic Frequency-Band Allocation Dynamic Frequency-Band Reallocation Discrete Fourier Transform European Space Agency Error Vector Magnitude Filter Bank Frequency Division Multiplexed Finite-length Impulse Response Flexible Frequency-Band Reallocation Frequency-Band Reallocation GuardBand Granularity Band Global System for Mobile communications Inter-Carrier Interference Inverse Discrete Fourier Transform Infinite-length Impulse Response Inter-Symbol Interference Interim Standard-54 Interim Standard-136 Linear Periodic Time-Varying Least-Squares Linear Time-Invariant Multiple Frequency/Time Division Multiple Access Multi-Input Multi-Output Modified Discrete Fourier Transform Mean Square Error Near Perfect Reconstruction Perfect Frequency-Band Reallocation Perfect Reconstruction Radio Frequency Quadrature Amplitude Modulation Synthesis Filter Bank Single-Input Single-Output Sampling Rate Conversion Transmultiplexer Wireless Local Area Network ix

14 x Acronyms and Abbreviations

15 1 Introduction 1.1 Motivation and Problem Formulation Communication engineers aim to design flexible radio systems to handle services among different telecommunication standards [1 10]. Along with the increase in (i) the number of communication standards (modes), and (ii) the range of services, the requirements on flexibility and cost-efficiency of these radio systems increase as well. Hence, low-cost multimode 1 terminals will be crucial building blocks for future generations of communication systems. Multistandard communications require to support different bandwidths from different telecommunication standards. Table 1.1 shows the bit rate, number of users sharing one channel, and the channel spacing of some popular cellular telecommunication standards, e.g., interim standard-54/136 (IS-54/136), global system for mobile communications (GSM), and IS-95 [11]. To include such standards in a general telecommunication system, one should handle a number of different bandwidths. Consequently, any user can use any standard which suits its requirements on bandwidth, transmission quality, etc. Assume, for example, that a communication channel is shared by three users A, B, and C which respectively transmit video, text, and audio. With bandwidthon-demand, any user can, at any time, decide to send either of video, text, and audio. Furthermore, at any time, any user can decide to use any center frequency. 1 This is also referred to as multiband, multistandard, universal [7]. 1

16 1. INTRODUCTION Table 1.1: Bit rate, number of users sharing one channel, and channel spacing in different telecommunication standards. Standard Bit Rate No. of Users Channel Spacing IS-54/ Kbps 3 30 KHz GSM 271 Kbps KHz IS Kbps KHz To support multimode communications, we thus need a system which allows different numbers of users, having different bit rates, to share a common channel. Transmultiplexers (TMUXs) allow different users to share a common channel [12]. Consequently, multimode TMUXs constitute one of the main building blocks in multistandard communications. Multiple access schemes such as code division multiple access, time division multiple access, frequency division multiple access, and orthogonal frequency division multiple access are special cases of a general TMUX structure [13 15]. To support bandwidth-on-demand, the characteristics of the TMUXs must vary with time. Such a communication system has a dynamic allocation of bandwidth. Each user occupies a specific portion of the channel whose location and width may vary with time. The principle of such a communication system is shown in Fig Here, the whole frequency spectrum is shared by P users. Each user X p has a bandwidth of π(1+ρ) R p, p = 0,1,...,P 1, and R p can be an integer or a rational value. Furthermore, ρ is the roll-off factor and a guardband (GB) of separates the user signals 2. To support such a scenario, we can, in principle, use conventional 3 nonuniform TMUXs or FBs, e.g., [16 31]. In a dynamic communication system, these conventional TMUXs and FBs would require either predesign of different filters or online filter design. This becomes inefficient when simultaneously considering the increased number of communication scenarios and the desire to support dynamic communications. Therefore, it is vital to develop low-complexity TMUXs which dynamically support different communication scenarios with reasonable implementation complexity and design effort. One aim of this thesis is to introduce TMUXs which allow different numbers of users, having different bandwidths, to share the whole frequency spectrum in a time-varying manner. As a promise of future digital communication systems, communication engineers also aim to support various wideband services accessible to everybody everywhere [32 39]. Here, satellites with high-gain spot beam antennas, on-board signal processing, and switching will be a major complementary part of future digital communication systems [32 37]. Because of the global coverage of satellites, customers only need to install a satellite terminal and subscribe to the service. The European space agency has proposed three major network structures for 2 The choice of does not restrict the analysis and design of the TMUX and, hence, throughout this thesis we will mostly assume = 0. 3 This is due to the duality of filter banks (FBs) and TMUXs [12]. 2

17 1. INTRODUCTION Case I: D<0 X 0 X 1 X 2 X P-1 X 0 0 2p wt X 0 X 1 X 2 X P-1 X 0 0 2p wt Case II: D=0 X 0 X 1 X 2 X P-1 X 0 0 2p wt X 0 X 1 X 2 X P-1 X 0 0 2p wt Case III: D>0 D D X 0 X 1 X 2 X P-1 0 2p wt D D X 0 X 1 X 2 X P-1 0 2p wt Figure 1.1: Problem formulation where P users share the frequency spectrum. X 0 X 0 broadband satellite-based systems in which satellites communicate with the users through multiple spot beams [37]. Therefore, we need efficient reuse of the limited available frequency spectrum by satellite on-board signal processing [32 57]. This calls for flexible frequency-band reallocation (FFBR) networks [40 50] also referred to as frequency multiplexing and demultiplexing [40, 50 56]. The digital part of the satellite on-board signal processor is a multi-input multioutput system. The number of input signals can differ from that of the output signals. Furthermore, the input/output signals can have different bandwidths. Such a communication system must support different communication and connectivity scenarios. One such main scenario is based on multiple frequency/time division multiple access (MF/TDMA). Here, the bandwidth of each incoming signal is composed of a number of adjacent smaller frequency bands (subbands). Each subband is occupied by one (a few) user (users). This MF/TDMA scheme slices the channel both in time and frequency [58]. At any time, any portion of the channel can be used by any user. The on-board signal processor reallocates all subbands to different output signals and center frequencies. The principle of this operation is illustrated in Fig Here, different users 3

18 1. INTRODUCTION Input signal p Input signal p In 1 wt in [rad] In 2 wt in [rad] FFBR Network Out 1 Out 2 Out 3 Output signal p p wt out [rad] Output signal wt out [rad] Output signal wt p out [rad] Figure 1.2: Frequency-band reallocation (FBR) for an FFBR network where any signal in any of the two input signals can be reallocated to any position in any of the three output signals. are present at the input of the FFBR networks and each of them must be reallocated to different center frequencies. In a dynamic communication system, the bandwidth and center frequency of the users may change in a time-varying manner. This necessitates FFBR networks which can dynamically perform reallocation of users with different bandwidths. Consequently, some requirements are imposed on FFBR networks such as flexibility, low complexity, near perfect frequency-band reallocation, simplicity, etc. [37]. In practice, one may need GBs between the subbands so that the network is realizable. It is one aim of this thesis to outline flexible and low complexity solutions for such FFBR networks. Although the idea of FFBR networks stems from satellite-based communications, they are generally applicable to systems which require frequency multiplexing and demultiplexing. This thesis will also outline some of these applications in the context of cognitive radios. To successfully design dynamic communication systems, communication engineers require high levels of flexibility in digital signal processing structures. This flexibility must not restrict the hardware and, ideally, it must come at the expense of simple software modifications. This is frequently referred to as reconfigurability [4, 6, 59 62] meaning that the system is based on a hardware platform whose parameters can be modified without hardware changes. This thesis outlines solutions for the reconfigurable communication scenarios discussed above. It is a result of the research performed at the Division of Electronics Systems, Department of Electrical Engineering, Linköping University between October 2006 and August The research during this period has resulted in the following publications [43 46, 63 68]: 1. A. Eghbali, H. Johansson, and P. Löwenborg, Flexible frequency-band reallocation MIMO networks for real signals, in Proc. Int. Symp. Image Signal Processing Analysis, Istanbul, Turkey, Sept

19 1. INTRODUCTION 2. A. Eghbali, H. Johansson, and P. Löwenborg, Flexible frequency-band reallocation: complex versus real, Circuits Syst. Signal Processing, DOI /s , Jan A. Eghbali, H. Johansson, and P. Löwenborg, An arbitrary bandwidth transmultiplexer and its application to flexible frequency-band reallocation networks, in Proc. Eur. Conf. Circuit Theory Design, Seville, Spain, Aug A. Eghbali, H. Johansson, and P. Löwenborg, A multimode transmultiplexer structure, IEEE Trans. Circuits Syst. II, vol. 55, no. 3, pp , Mar A. Eghbali, H. Johansson, and P. Löwenborg, A Farrow-structure-based multi-mode transmultiplexer, in Proc. IEEE Int. Symp. Circuits Syst., Seattle, Washington, USA, May A. Eghbali, H. Johansson, and P. Löwenborg, A class of multimode transmultiplexers based on the Farrow structure, Circuits Syst. Signal Processing, 2010, submitted. 7. A. Eghbali, H. Johansson, and P. Löwenborg, On the filter design for a class of multimode transmultiplexers, in Proc. IEEE Int. Symp. Circuits Syst., Taipei, Taiwan, May , A. Eghbali, H. Johansson, and P. Löwenborg, Reconfigurable nonuniform transmultiplexers based on uniform filter banks, in Proc. IEEE Int. Symp. Circuits Syst., Paris, France, May 30-June 2, A. Eghbali, H. Johansson, and P. Löwenborg, Reconfigurable nonuniform transmultiplexers based on uniform filter banks, IEEE Trans. Circuits Syst. I - Regular Papers, accepted for publication. 10. A. Eghbali, H. Johansson, and P. Löwenborg, and H. G. Göckler, Dynamic frequency-band reallocation and allocation: From satellite-based communication systems to cognitive radios, J. Signal Processing Syst., DOI /s , Feb These papers are covered in Chapters 4 8. The following papers were also published during this period but they are not included in this thesis: 1. A. Eghbali, O. Gustafsson, H. Johansson, and P. Löwenborg, On the complexity of multiplierless direct and polyphase FIR filter structures, in Proc. Int. Symp. Image Signal Process. Analysis, Istanbul, Turkey, Sept G. Mehdi, N. Ahsan, A. Altaf, and A. Eghbali, A 403-MHz fully differential class-e amplifier in 0.35 um CMOS for ISM band applications, in Proc. IEEE EWDTS 2008, Lviv, Ukraine, Oct. 9-13,

20 1. INTRODUCTION 3. A. Eghbali, H. Johansson, T. Saramäki, and P. Löwenborg, On the design of adjustable fractional delay FIR filters using digital differentiators, in Proc. IEEE Int. Conf. Green Circuits Syst., Shanghai, China, June 21-23, Thesis Outline The thesis consists of nine chapters where Chapters 2 and 3 deal with the background material. The main contributions of the thesis appear in Chapters 4 8. Chapter 2 reviews the basics of digital filters. It includes the definition of finitelength impulse response and infinite-length impulse response filters; polyphase decomposition; and some special classes of filters. The minimax, least-squares (LS), and the constrained LS filter design problems are also treated. Chapter 3 discusses sampling rate conversion (SRC) using conventional structures and the Farrow structure. Furthermore, the noble multirate identities and efficient SRC structures are considered. In addition, FBs and TMUXs are studied. The perfect reconstruction is treated and its approximation by redundant TMUXs is considered. Finally, the filter design problem for redundant TMUXs is outlined. Chapter 4 is based on [43, 45] and it discusses approaches for realizing FFBR networks. The chapter introduces two alternatives for processing real signals using real input/output and complex input/output FFBR networks. It is shown that the real case has less overall number of processing units. In addition, the real system eliminates the need for two Hilbert transformers and is suitable for systems with a large number of users. Finally, issues related to performance and the trend in arithmetic complexity with respect to (i) the prototype filter order, (ii) the number of FB channels, (iii) the order of the Hilbert transformer, and (iv) the efficiency in FBR are also considered. Chapter 5 covers [46, 63] and it introduces a multimode TMUX capable of generating a large set of bandwidths and center frequencies. The TMUX utilizes fixed integer SRC, Farrow-based variable rational SRC, and variable frequency shifters. The building blocks, their operation, and the filter design problem along with some design examples are considered. It is shown that, by designing the filters only once offline, all possible combinations of bandwidths and center frequencies are obtained online. This requires simple adjustments of the variable delay parameter of the Farrow-based filters and the variable parameters of the frequency shifters. Using the rational SRC equivalent of the Farrow-based filters, the TMUX is described in terms of conventional multirate building blocks. The performance and functionality tests of the FFBR network, discussed in Chapter 4, are also illustrated. Chapter 6 considers a class of multimode TMUXs proposed by [64 66]. The TMUXs use the Farrow structure to realize polyphase components of general interpolation/decimation filters. This allows integer SRC with different ratios to be realized using fixed filters and a few variable multipliers. In conjunction with variable frequency shifters, an integer SRC multimode TMUX is presented and its filter design problem, using the minimax and LS methods, is treated. A model of general rational SRC is then constructed where the same fixed subfilters are 6

21 1. INTRODUCTION used to perform rational SRC. Efficient realizations of this rational SRC scheme are presented. Similarly, variable frequency shifters are utilized to derive a general rational SRC multimode TMUX. By processing quadrature amplitude modulation signals, the performance of the TMUX is also discussed. Chapter 7 is based on [67, 68] and it introduces reconfigurable nonuniform TMUXs based on fixed uniform modulated FBs. The proposed TMUXs use cosine modulated FBs and modified discrete Fourier transform FBs. Users can occupy different bandwidths and center frequencies in a time-varying manner. The filter design, realization, and the reconstruction error are discussed. Further, the system parameters and the implementation cost are treated. The chapter also compares the proposed TMUXs to those in Chapters 5 and 6. Chapter 8 is based on [44] and it deals with two approaches for frequency allocation and reallocation used in the baseband processing of cognitive radios. These approaches can be used depending on the availability of a composite signal comprising several user signals or the individual user signals. With composite signals, the FFBR network in Chapter 4 is used. To process individual users, the TMUXs in Chapters 5 7 can be used. Discussions on reconfigurability with respect to cognitive radios are also provided. Chapter 9 gives some concluding remarks and open issues for future research. 7

22 8 1. INTRODUCTION

23 2 Basics of Digital Filters This chapter reviews some basics of digital filters. First, finite-length impulse response (FIR) and infinite-length impulse response (IIR) filters are discussed. Section 2.3 treats the polyphase decomposition. Some classes of filters, viz., power complementary, Nyquist, linear-phase FIR, and Hilbert transformers are discussed in Section 2.4. Finally, Section 2.5 outlines the minimax, least-squares (LS), and the constrained LS (CLS) filter design problems. 2.1 FIR Filters A causal 1 FIR filter of order N has an impulse response with N + 1 coefficients h(0),h(1),...,h(n). The transfer function of an Nth-order FIR filter is [69] N H(z) = h(n)z n. (2.1) n=0 In the time domain and with an input sequence x(n), the output sequence is N y(n) = h(k)x(n k) Y(z) = H(z)X(z). (2.2) k=0 1 A filter is causal if h(n) = 0, n < 0. A non-causal FIR filter can be made causal by insertion of a proper delay. 9

24 2. BASICS OF DIGITAL FILTERS x(n) T T T T h 0 h 1 h 2 h N-1 h N y(n) x(n) Figure 2.1: Direct form realization of an N th-order FIR filter. h 0 h 1 h 2 h N-1 h N y(n) T T T T Figure 2.2: Transposed direct form realization of an N th-order FIR filter. There are different ways to realize (2.2) and two are shown in Figs. 2.1 and 2.2 where the impulse response values are h 0,h 1,...,h N. The FIR filters allow one to use non-recursive algorithms for their realization thereby eliminating problems with instability. This thesis always deals with non-recursive stable FIR filters. Figures 2.1 and 2.2 need N + 1 multiplications, N two-input additions, and N delay elements. 2.2 IIR Filters If the length of h(n) is infinite, the filter is called IIR where N n=0 H(z) = a(n)z n 1 (2.3) N n=1b(n)z n. With b(n) = 0, n = 0,1,...,N, an IIR filter reduces to an FIR filter. Realization of IIR filters requires recursive algorithms which may give rise to problems of instability. As the poles of IIR filters are not in the origin (as opposed to FIR filters), their design has extra degrees of freedom. However, care must be taken to place the poles inside the unit circle to ensure stability Note on Stability The z-transform of h(n) is defined by the Laurent series [69 73] H(z) = + n= 10 h(n)z n. (2.4)

25 2. BASICS OF DIGITAL FILTERS This transform exists if h(n) decays to zero as n approaches and +. If [72] then (2.4) converges for h(n) M 1 K n 1, n 0, (2.5) h(n) M 2 K n 2, n 0, (2.6) K 1 < z < K 2. (2.7) As z can have a radius r and an angle θ of the form z = re jθ, (2.4) will converge on every concentric circle with K 1 < r < K 2. For right-hand (left-hand) sided sequences, (2.4) will converge on concentric circles exterior (interior) to some radius, say K c, determined by the radius of the largest (smallest) pole [72]. If (2.4) converges for r = 1, the Fourier transform of h(n) exists and it is defined as [69, 71] H(e jωt ) = + n= 2.3 Polyphase Decomposition The transfer function in (2.1) can be decomposed as H(z) = n= +z 1... n= +z (L 1) n= which can be rewritten as [12, 69, 70] h(n)e jnωt. (2.8) h(nl)z nl h(nl+1)z nl (2.9) h(nl+l 1)z nl, L 1 H(z) = z i H i (z L ). (2.10) i=0 Here, H i (z) are the polyphase components and h i (n) = h(nl+i), i = 0,1,...,L 1. (2.11) This decomposition is frequently referred to as the Type I polyphase decomposition. The Type II polyphase decomposition of (2.1) is [12] L 1 H(z) = z (L 1 i) R i (z L ), (2.12) i=0 11

26 2. BASICS OF DIGITAL FILTERS where R i (z) = H L 1 i (z) [12]. The Type I and II polyphase decompositions allow one to efficiently realize the analysis and synthesis filter banks (FBs) of general FBs, respectively [12]. With polyphase realization, the filters operate at the lowest possible sampling frequency. Although polyphase decomposition reduces the implementation cost, the total number of multiplications and additions does not change. This cost reduction is achieved by operating the adders and multipliers at a lower sampling frequency. To realize an N th-order FIR filter using the L-polyphase decomposition, we need L subfilters of length roughly N+1 L. To do so, (2.2) is rewritten as [70] L 1 L 1 L 1 Y(z) = Y l (z L )z l = X i (z L )z i H j (z L )z j, (2.13) l=0 i=0 where Y l (z), X i (z), and H j (z) are the polyphase components of Y(z), X(z), and H(z), respectively. In a matrix form, (2.13) becomes Y 0 (z L ) X 0 (z L ) Y 1 (z L ). = X 1 (z L ) H(zL ) (2.14). where H(z L ) = Y L 1 (z L ) j=0 X L 1 (z L ) H 0 (z L ) z L H L 1 (z L )... z L H 1 (z L ) H 1 (z L ) H 0 (z L )... z L H 2 (z L ) H L 1 (z L ) H L 2 (z L )... H 0 (z L ) 2.4 Special Classes of Filters. (2.15) Some classes of digital filters are more suitable for multirate systems. The sequel introduces some of these classes Complementary Filters The filters H k (z), k = 0,1,...,K, are power complementary if [12] K H k (e jωt ) 2 = c, c > 0. (2.16) k=0 In general, H k (z) are complementary of order p if [74] K H k (e jωt ) p = c, p N, c > 0. (2.17) k=0 12

27 2. BASICS OF DIGITAL FILTERS In special cases, the magnitude and power complementary filters satisfy (2.17) for p = 1 and p = 2, respectively. Higher order complementary filters, e.g., p > 2, can generate ordinary magnitude and power complementary filters while maintaining superior cut-off characteristics [74]. Strictly (or delay) complementary filters are those who add up to a delay as [12, 69] K H k (e jωt ) = cz D0, c 0. (2.18) k= Linear-Phase FIR Filters The FIR filters can have a linear phase so as to preserve the shape of the signals. This requires h(n) to be either symmetric or antisymmetric as [69] Symmetric : h(n) = h(n n), n = 0,1,...,N (2.19) Antisymmetric : h(n) = h(n n), n = 0,1,...,N. (2.20) Then, we have about N 2 distinct coefficients thereby reducing the number of multipliers. However, this does not change the number 2 of adders. The frequency response of a linear-phase FIR filter can be expressed as H(e jωt ) = e j(nωt 2 +c) H R (ωt) = e jθ(ωt) H R (ωt), (2.21) where H R (ωt) is the real zero-phase frequency response with c = 0 and c = π 2 for symmetric and antisymmetric h(n), respectively. The magnitude response, i.e., H R (ωt), always assumes real positive values whereas H R (ωt) could be negative. The phase response is [69, 75] Φ(ωT) = { Θ(ωT), HR (ωt) 0 Θ(ωT) π, H R (ωt) < 0. (2.22) In general, the linear-phase response can be of the form [75] Φ(ωT) = αωt +β. (2.23) Depending on h(n) being symmetric or antisymmetric and N being odd or even, four types of linear-phase FIR filters are defined as [69, 75] Type I : h(n) = h(n n), Type II : h(n) = h(n n), N even N odd Type III : h(n) = h(n n), N even Type IV : h(n) = h(n n), N odd. (2.24) 2 For Type III linear-phase FIR filters, the number of adders is also reduced. 13

28 2. BASICS OF DIGITAL FILTERS Table 2.1: Typical locations of zeros for linear-phase FIR filters. Type Location I Arbitrary II ωt = π III ωt = 0,π IV ωt = 0 These four types have different expressions for H R (ωt) as [75] H R (ωt) = h( N 2 )+2 N 2 n=1 h(n 2 2 N 1 2 n=0 h(n 1 2 N 2 1 n=0 h(n 2 2 N 1 2 n=0 h(n 1 n)cos(nωt) Type I 2 n)cos( n+1 2 ωt) Type II 1 n)sin((n+1)ωt) Type III 2 n)sin( n+1 2 ωt) Type IV. (2.25) Further, [75] Φ(ωT) = { NωT 2 Type I,II NωT 2 + π 2 Type III,IV. (2.26) The group delay τ g (ωt) and the phase delay τ p (ωt) are defined as [69, 75] τ g (ωt) = dφ(ωt) d(ωt), (2.27) and τ p (ωt) = Φ(ωT) ωt. (2.28) The shape of a periodic signal is preserved 3 if τ p (ωt) is almost constant in the passband. This makes the delay of all signal components approximately equal. For nonperiodic signals, τ g (ωt) may be used. For a constant phase delay, β in (2.23) is forced to be zero whereas for a constant group delay, β in (2.23) can be arbitrary. Linear-phase FIR filters have a constant group delay of τ g (ωt) = N 2. The zeros of a real-valued linear-phase FIR filter are either real or as complex conjugate pairs. If the zeros appear off the unit circle, they are mirrored with respect to the unit circle. This thesis focuses on Types I or II as we deal with lowpass filters. Table 2.1 shows typical locations of the zeros for different linearphase FIR filters. 3 The shape of a periodic bandpass or highpass signal is preserved if β in (2.23) is a multiple of 2π and α is constant [75]. 14

29 2. BASICS OF DIGITAL FILTERS Nyquist (M th-band) Filters A lowpass non-causal filter h(n) of order N is said to be Mth-band if any of its polyphase components, i.e., H k (z), satisfies [12, 69, 76] H k (z M ) = 1 M. (2.29) Here, N = KM m with K and m being integers. Then, k = M m mod M (2.30) where m mod M represents the remainder of m M. In general and for a non-causal h(n), this gives { 1 M h(n) = n = 0 (2.31) 0 n = ±M,±2M,... meaning that every Mth sample, except the center tap, is zero. This reduces the number of multipliers and adders required to realize the filter. If h(n) is an Mth-band filter, its delayed version is also an Mth-band filter [12]. In the causal case, H(z) is an Mth-band filter if the kth polyphase component has the form H k (z) = 1 M z n k. In the time domain, this becomes h(nm +k) = { 1 M n = n k 0 otherwise. (2.32) For an M th-band filter, the passband and stopband edges are, respectively, [77] ω c T = π(1 ρ) M ω s T = π(1+ρ) M, (2.33) where ρ is the roll-off factor (excess bandwidth [75]) and 0 < ρ < 1 so that the transition band contains ωt = π M. In the context of FBs, ρ can assume any value such that ρ > 0 [78]. In brief, H(z) has a real zero-phase frequency response where H R (ωt) = 1 2, ωt = π M. (2.34) Furthermore, the passband and stopband ripples are related to each other as δ s (M 1)δ c. (2.35) If H(z) is an Mth-band filter, the sum of M shifted copies of H(z) results in a constant. In other words, M H(zWM) k = c, W M = e j 2π M, c > 0. (2.36) k=0 15

30 2. BASICS OF DIGITAL FILTERS An alternative to (2.36) is obtained from (2.18) with D 0 = 0 [12]. Generally, the impulse response of a Nyquist filter could be causal or non-causal; FIR or IIR; linear-phase or nonlinear-phase; and real or complex. This thesis always designs real causal linear-phase FIR Nyquist filters. Nyquist filters find applications in, e.g., transmultiplexers [79], spectrum sensing for cognitive radios [61, 80, 81], sampling rate conversion [12, 69], and pulse shaping in communications [82, 83] Hilbert Transformers The spectrum of a real-valued signal is Hermitian symmetric around ωt = 0 and H(e jωt ) = H (e jωt ). This results in some redundancy between the portions of the spectrum at negative and positive values of ωt [84]. Thus, the information of a real-valued signal can be obtained from its spectrum for ωt [0,π]. It is also desirable for, e.g., single sideband communications, to discard the negative frequencies and only process the positive part [85]. To preserve the positive frequencies, the real signal x(n) is passed through a complex linear-phase filter [84] H(e jωt ) = { 2 0 < ωt < π 0 π < ωt < 0. (2.37) From(2.37), weseethatthereissomeambiguityatωt = 0[84]. Thecorresponding IIR non-causal impulse response is 1 n = 0 2j h(n) = nπ odd n (2.38) 0 otherwise. The complex output sequence is then where represents convolution and [84] y(n) = x(n) h(n) = x(n)+jx(n) h i (n), (2.39) h i (n) = { 2 nπ odd n 0 even n. (2.40) Further, H i (e jωt ) = { j 0 < ωt < π j π < ωt < 0. (2.41) In the literature, (2.41) is also referred to as the Hilbert transformer [12, 86, 87]. This thesis uses the term Hilbert transformer for (2.37). From (2.39), we can see that the real and imaginary parts of y(n) are related by a Hilbert transform, i.e., a phase shift of π 2 at all frequencies as in (2.41). One way to design a Hilbert transformer is to shift a real lowpass half-band filter G(z) of length 2N as [69, 84] H(z) = j2g( jz) = ( 1) N 1 2 z N +je( z 2 ), (2.42) 16

31 2. BASICS OF DIGITAL FILTERS where G(z) = z N E(z 2 ). (2.43) 2 IntheFIRcase, E(z 2 )hasalinear phasewithagroupdelayofn samples. Further, E(z) is a wideband lowpass filter. This thesis shifts a real lowpass half-band filter to obtain a Hilbert transformer. Thus, we have causal linear-phase FIR filters. 2.5 FIR Filter Design The frequency response of an ideal digital filter is equal to unity in the passband(s) and zero in the stopband(s). In other words, H(e jωt ) = { 1 in passband(s) 0 in stopband(s). (2.44) Furthermore, there are no transition band(s) resulting in a brick-wall characteristic. Such a filter has an infinite length, e.g., an ideal lowpass sinc function, as h(n) = { 1 n = 0 sin(n) n n 0 (2.45) and is not realizable. To get a realizable filter, one approximates this ideal transfer function in the passband(s) and stopband(s) by allowing transition band(s) as well as some ripples. Thus, the practical specification for a digital filter is 1 δ c H(e jωt ) 1+δ c, ωt Ω c H(e jωt ) δ s, ωt Ω s. (2.46) Here, δ c and δ s are, respectively, the passband and stopband ripples with Ω c and Ω s being the passband and stopband regions. One can generally have filters with multiple passband and stopband regions. Then, the specifications must be satisfied for all of these regions. Further, one can allow different ripples in these regions. As an example, in a lowpass filter, Ω c covers [0,ω c T] whereas Ω s covers [ω s T,π]. Here, ω c T and ω s T are the passband and stopband edges, respectively. After estimating the filter order, h(n) must be determined such that (2.46) is satisfied for desired values of Ω c, Ω s, δ c, and δ s. A commonly used formula to estimate the order of a linear-phase FIR filter is the Bellanger s formula [88] N B 2 3 log 2π 10(10δ s δ c ) ω s T ω c T. (2.47) For reasonable orders, (2.47) gives a good approximation. For general nonlinearphase FIR filters, such formulae do not exist. Then, a manual search is the only 17

32 2. BASICS OF DIGITAL FILTERS 8 100*(N B N K )/N K (ω s T ω c T)/π δ s =δ c [db] Figure 2.3: Relative comparison of the orders estimated by (2.47) and (2.48). way to find the filter order. Note that there exist other formulae to estimate the order, e.g., Kaiser [89], as N K 20log 10( δ s δ c ) (ω s T ω c T)/2π. (2.48) This thesis uses the Bellanger s formula. For large values of δ c and δ s, (2.47) and (2.48) may result in negative orders but such large ripples may not be practical also. As an example, with δ c = δ s = 0.5, ω s T = 0.3π, and ω c T = 0.2π, we get N B = and N K = Throughout this thesis, the ripples are chosen so that they (i) are practical, and (ii) ensure positive orders. This is achieved if δ s δ c < 0.1 in (2.47). δ s δ c < in (2.48). Figure 2.3 shows a relative comparison of these positive orders for some typical values of ω s T ω c T and δ s = δ c. As can be seen, there is a maximum of 10% difference between N B and N K. With the values of δ s, δ c, ω s T, and ω c T used in this thesis, this difference is about 5%. Consequently, the conclusions of the thesis are valid even if (2.48) is used. However, (2.48) slightly changes the fomulations of complexity, etc. Generally and for very small or large ω c T, these formulae suffer from estimation inaccuracies. However, there are other methods to estimate the 18

33 2. BASICS OF DIGITAL FILTERS filter order as in, e.g., [90]. As [90] complicates the derivations of the arithmetic complexity provided in this thesis, we do not use it. The filter design problem finds h(n) so as to satisfy a specific criterion. This criterion could be the energy, maximum ripple, or combinations of them leading to LS, minimax, or CLS approaches. The general minimax design problem is min δ, subject to (2.49) H(e jωt ) 1 δ, ωt Ω c H(e jωt ) W(ωT)δ, ωt Ω s. On the other hand, the LS design problem is min H(e jωt ) 1 2 H(e d(ωt)+ ωt Ω c ωt Ωs jωt ) 2 d(ωt). (2.50) W(ωT) Regarding CLS, one could minimize the stopband (passband) energy with constraints on the passband (stopband) ripples. This thesis formulates the CLS design problem as min δ, subject to (2.51) ωt Ω c H(e jωt ) 1 2 d(ωt) δ, ωt Ω c H(e jωt ) δ des, ωt Ω s. Here, δ des is the desired maximum stopband ripple. Further, W(ωT) is a weighting function. A large W(ωT) results in small (large) stopband approximation errors for minimax (LS) designs. This thesis assumes frequency independent weighting functions and, thus, W(ωT) is constant in the frequency range of interest. 19

34 20 2. BASICS OF DIGITAL FILTERS

35 3 Basics of Multirate Signal Processing This chapter treats some basics of multirate systems. Sections 3.1 and 3.2 discuss the sampling rate conversion (SRC) based on the conventional structures and the Farrow structure. Then, filter banks (FBs) are defined in Section 3.3 where their input-output relation and the perfect reconstruction (PR) conditions are considered. As duals of FBs, transmultiplexers (TMUXs) are outlined in Section 3.4. Finally, redundant TMUXs with non-overlapping filters and their filter design problem are treated. 3.1 Sampling Rate Conversion: Conventional Different parts of a multirate system operate at different sampling frequencies. Consequently, there is a need for SRC between these parts. This can be performed by interpolation (decimation) which increases (decreases) the sampling frequency of digital signals [12, 69]. An alternative, to perform SRC on digital signals, is to first construct the corresponding analog signal and, then, resample it with the desired sampling frequency. However, it is more efficient to perform SRC directly in the digital domain. By changing the sampling frequency, the implementation cost for a given task can be reduced as the adders and multipliers can operate at a lower rate. Interpolation and decimation are two-stage processes comprising lowpass filters as well as downsamplers and upsamplers. The block diagrams of 21

36 3. BASICS OF MULTIRATE SIGNAL PROCESSING (a) x(m) M y(n) (b) x(n) L y(m) Figure 3.1: (a) M-fold downsampler. (b) L-fold upsampler. x(m) H(z) M y(n) x(n) L H(z) y(m) Figure 3.2: Decimation by M. Figure 3.3: Interpolation by L. upsamplers and downsamplers are shown in Fig A downsampler retains every Mth sample of the input signal as [12, 69] In the frequency domain, (3.1) becomes [12, 69] Y(z) = 1 M y(n) = x(nm). (3.1) M 1 k=0 X(z 1 M W k M ), (3.2) where W M is defined as in (2.36). The output signal is the sum of M stretched (by converting z to z M) 1 and shifted (through the terms WM k ) versions of X(z). Note that X(z M) 1 is not periodic by 2π. Adding the shifted versions gives a signal with a period of 2π so that the Fourier transform can be defined. An upsampler adds L 1 zeros between consecutive samples of x(n) and [12, 69] y(n) = { x( n L ) if n = 0,±L,±2L,... 0 otherwise. (3.3) In the frequency domain, (3.3) becomes [12, 69] Y(z) = X(z L ), (3.4) and the whole frequency spectrum is compressed by L giving rise to images. The upsampler and downsampler are linear time-varying systems [12]. Unlessx(n)islowpassandbandlimited 1, downsamplingresultsinaliasing. Consequently, decimation requires an extra filter as in Fig This anti-aliasing filter H(z) limits the bandwidth of x(n) as the original signal can only be preserved if it is bandlimited to π M. In Fig. 3.2, y(n) = + k= x(k)h(nm k). (3.5) 1 This is not necessary to avoid aliasing. For example, if X(e jωt ) is nonzero only at ωt [ω 1 T,ω 1 T + 2π M ] for some ω 1T, there is no aliasing [12]. 22

37 3. BASICS OF MULTIRATE SIGNAL PROCESSING As upsampling causes imaging, interpolation requires a filter as in Fig This lowpass anti-imaging filter H(z) removes the images and [12] y(n) = + k= x(k)h(n kl). (3.6) For SRC 2 by a rational ratio M L, interpolation by L in Fig. 3.3 must be followed by decimation by M in Fig Consequently, the cascade of the anti-imaging and anti-aliasing filters results in one filter, say G(z). Thus, the output is [12] y(n) = + k= x(k)g(nm kl). (3.7) This thesis will frequently use this cascade and its dual, i.e., interpolation by M followed by decimation by L. Generally, G(z) is a lowpass filter with a stopband edge at [12, 69] ω s T = min( π M, π L ) = π max(m,l). (3.8) In practice, there is a roll-off factor as in (2.33). If M and L are mutually coprime numbers, a decimator can be obtained by transposing the interpolator. For mutually coprime M and L, the following three systems 1. Upsampling by M followed by downsampling by L 2. Downsampling by L followed by upsampling by M 3. Upsampling by km followed by downsampling by kl followed by multiplier 1 k where k > 1 areequal[91]. Notethat(3.7)generallyfitsintotheframeworkofalineardual-rate system [92] which can always be represented via a kernel function as y(n) = + k= p(k, n)x(k). (3.9) Noble Identity The noble identity allows one to move the filtering operations inside a multirate structure. If H(z) is a rational function, i.e., a ratio of polynomials in z or z 1, the noble identities can be defined as in Fig Combination of these noble identities and the polyphase decomposition enables efficient realizations of multirate structures. Efficient structures for integer decimation and interpolation are, respectively, shown in Figs. 3.5 and If L > M (L < M), we have interpolation (decimation) by a rational ratio L M > 1 (M L > 1). This thesis frequently refers to SRC by a rational ratio R p > 1. 23

38 3. BASICS OF MULTIRATE SIGNAL PROCESSING x(m) H(z M ) y(n) M <=> x(m) y(n) M H(z) x(n) M H(z M ) y(m) <=> x(n) H(z) M y(m) Figure 3.4: Noble identities which allow us to move the arithmetic operations to the lower sampling frequency. x(m) H(z) M Mf s y(n) f s x(m) Mf s z -1 M H 0 (z) y(n) f s H 0 (z) M H 1 (z) x(m) Mf s H 1 (z) y(n) f s z -1 M H M-1 (z) H M-1 (z) Figure 3.5: Decimation with polyphase decomposition and noble identities. x(n) M H(z) y(m) f s Mf s x(n) f s H 0 (z) M z -1 y(m) Mf s H 0 (z) H 1 (z) M x(n) H 1 (z) y(m) f s Mf s H M-1 (z) M z -1 H M-1 (z) Figure 3.6: Interpolation with polyphase decomposition and noble identities. 24

39 3. BASICS OF MULTIRATE SIGNAL PROCESSING Table 3.1: Types of the linear-phase FIR filters S k (z). N k k Type even even I even odd III odd even II odd odd IV x(n) S L (z) S 2 (z) S 1 (z) S 0 (z) m m m y(n) Figure 3.7: Farrow structure with fixed subfilters S k (z) and variable fractional delay µ. 3.2 Sampling Rate Conversion: Farrow Structure In conventional SRC and if the SRC ratio changes, new filters are needed. This reduces the flexibility in covering different SRC ratios. By utilizing the Farrow structure [93], shown in Fig. 3.7, this can be solved in an elegant way. The Farrow structure is composed of linear-phase finite-length impulse response (FIR) 3 subfilters S k (z), k = 0,1,...,L, with either a symmetric (for k even) or antisymmetric (for k odd) impulse response. According to Table 3.1, these subfilters could have any of the four types of the linear-phase FIR filters discussed in Section When S k (z) are linear-phase FIR filters, the Farrow structure is often referred to as the modified Farrow structure [94]. Throughout this thesis, we simply refer to it as the Farrow structure. The Farrow structure is efficient for interpolation whereas, for decimation, it is better to use the transposed Farrow structure [3, 95] so as to avoid aliasing. This chapter only considers integer and rational SRC ratios. Then, the decimators are obtained by transposing the corresponding interpolators [12]. This is in contrast to the irrational case which is more subtle [3, 95]. The subfilters can also have even or odd orders N k. With odd N k, all S k (z) are general filters whereas for even N k, the filter S 0 (z) reduces to a pure delay. The transfer function of the Farrow structure is 3 With infinite-length impulse response (IIR) filters, care must be taken to avoid transients as µ may change for every sample. 25

Time-skew error correction in two-channel time-interleaved ADCs based on a two-rate approach and polynomial impulse responses

Time-skew error correction in two-channel time-interleaved ADCs based on a two-rate approach and polynomial impulse responses Time-skew error correction in two-channel time-interleaved ADCs based on a two-rate approach and polynomial impulse responses Anu Kalidas Muralidharan Pillai and Håkan Johansson Linköping University Post

More information

Multirate Digital Signal Processing

Multirate Digital Signal Processing Multirate Digital Signal Processing Basic Sampling Rate Alteration Devices Up-sampler - Used to increase the sampling rate by an integer factor Down-sampler - Used to increase the sampling rate by an integer

More information

Dynamic Frequency-Band Reallocation and Allocation: from Satellite-Based Communication Systems to Cognitive Radios

Dynamic Frequency-Band Reallocation and Allocation: from Satellite-Based Communication Systems to Cognitive Radios DOI 10.1007/s11265-009-0348-1 Dynamic Frequency-Band Reallocation and Allocation: from Satellite-Based Communication Systems to Cognitive Radios Amir Eghbali Håkan Johansson Per Löwenborg Heinz G. Göckler

More information

Noise removal example. Today s topic. Digital Signal Processing. Lecture 3. Application Specific Integrated Circuits for

Noise removal example. Today s topic. Digital Signal Processing. Lecture 3. Application Specific Integrated Circuits for Application Specific Integrated Circuits for Digital Signal Processing Lecture 3 Oscar Gustafsson Applications of Digital Filters Frequency-selective digital filters Removal of noise and interfering signals

More information

Digital Signal Processing

Digital Signal Processing Digital Signal Processing System Analysis and Design Paulo S. R. Diniz Eduardo A. B. da Silva and Sergio L. Netto Federal University of Rio de Janeiro CAMBRIDGE UNIVERSITY PRESS Preface page xv Introduction

More information

Narrow-Band and Wide-Band Frequency Masking FIR Filters with Short Delay

Narrow-Band and Wide-Band Frequency Masking FIR Filters with Short Delay Narrow-Band and Wide-Band Frequency Masking FIR Filters with Short Delay Linnéa Svensson and Håkan Johansson Department of Electrical Engineering, Linköping University SE8 83 Linköping, Sweden linneas@isy.liu.se

More information

Filter Banks I. Prof. Dr. Gerald Schuller. Fraunhofer IDMT & Ilmenau University of Technology Ilmenau, Germany. Fraunhofer IDMT

Filter Banks I. Prof. Dr. Gerald Schuller. Fraunhofer IDMT & Ilmenau University of Technology Ilmenau, Germany. Fraunhofer IDMT Filter Banks I Prof. Dr. Gerald Schuller Fraunhofer IDMT & Ilmenau University of Technology Ilmenau, Germany 1 Structure of perceptual Audio Coders Encoder Decoder 2 Filter Banks essential element of most

More information

All-Digital Aggregator for Multi-Standard Video Distribution

All-Digital Aggregator for Multi-Standard Video Distribution Master of Science Thesis in Electrical Engineering Department of Electrical Engineering, Linköping University, 2018 All-Digital Aggregator for Multi-Standard Video Distribution Andreas Norén Master of

More information

arxiv: v1 [cs.it] 9 Mar 2016

arxiv: v1 [cs.it] 9 Mar 2016 A Novel Design of Linear Phase Non-uniform Digital Filter Banks arxiv:163.78v1 [cs.it] 9 Mar 16 Sakthivel V, Elizabeth Elias Department of Electronics and Communication Engineering, National Institute

More information

MULTIRATE DIGITAL SIGNAL PROCESSING

MULTIRATE DIGITAL SIGNAL PROCESSING AT&T MULTIRATE DIGITAL SIGNAL PROCESSING RONALD E. CROCHIERE LAWRENCE R. RABINER Acoustics Research Department Bell Laboratories Murray Hill, New Jersey Prentice-Hall, Inc., Upper Saddle River, New Jersey

More information

Design and Simulation of Two Channel QMF Filter Bank using Equiripple Technique.

Design and Simulation of Two Channel QMF Filter Bank using Equiripple Technique. IOSR Journal of VLSI and Signal Processing (IOSR-JVSP) Volume 4, Issue 2, Ver. I (Mar-Apr. 2014), PP 23-28 e-issn: 2319 4200, p-issn No. : 2319 4197 Design and Simulation of Two Channel QMF Filter Bank

More information

An Efficient and Flexible Structure for Decimation and Sample Rate Adaptation in Software Radio Receivers

An Efficient and Flexible Structure for Decimation and Sample Rate Adaptation in Software Radio Receivers An Efficient and Flexible Structure for Decimation and Sample Rate Adaptation in Software Radio Receivers 1) SINTEF Telecom and Informatics, O. S Bragstads plass 2, N-7491 Trondheim, Norway and Norwegian

More information

Design of FIR Filters

Design of FIR Filters Design of FIR Filters Elena Punskaya www-sigproc.eng.cam.ac.uk/~op205 Some material adapted from courses by Prof. Simon Godsill, Dr. Arnaud Doucet, Dr. Malcolm Macleod and Prof. Peter Rayner 1 FIR as a

More information

Digital Signal Processing

Digital Signal Processing Digital Signal Processing Fourth Edition John G. Proakis Department of Electrical and Computer Engineering Northeastern University Boston, Massachusetts Dimitris G. Manolakis MIT Lincoln Laboratory Lexington,

More information

Copyright S. K. Mitra

Copyright S. K. Mitra 1 In many applications, a discrete-time signal x[n] is split into a number of subband signals by means of an analysis filter bank The subband signals are then processed Finally, the processed subband signals

More information

(i) Understanding of the characteristics of linear-phase finite impulse response (FIR) filters

(i) Understanding of the characteristics of linear-phase finite impulse response (FIR) filters FIR Filter Design Chapter Intended Learning Outcomes: (i) Understanding of the characteristics of linear-phase finite impulse response (FIR) filters (ii) Ability to design linear-phase FIR filters according

More information

(i) Understanding of the characteristics of linear-phase finite impulse response (FIR) filters

(i) Understanding of the characteristics of linear-phase finite impulse response (FIR) filters FIR Filter Design Chapter Intended Learning Outcomes: (i) Understanding of the characteristics of linear-phase finite impulse response (FIR) filters (ii) Ability to design linear-phase FIR filters according

More information

Cosine-Modulated Filter Bank Design for Multicarrier VDSL Modems

Cosine-Modulated Filter Bank Design for Multicarrier VDSL Modems Cosine-Modulated Filter Bank Design for Multicarrier VDSL Modems Ari Viholainen, Tapio Saramäki, and Markku Renfors Telecommunications Laboratory, Tampere University of Technology P.O. Box 553, FIN-3311

More information

Optimized Design of IIR Poly-phase Multirate Filter for Wireless Communication System

Optimized Design of IIR Poly-phase Multirate Filter for Wireless Communication System Optimized Design of IIR Poly-phase Multirate Filter for Wireless Communication System Er. Kamaldeep Vyas and Mrs. Neetu 1 M. Tech. (E.C.E), Beant College of Engineering, Gurdaspur 2 (Astt. Prof.), Faculty

More information

Design of a Sharp Linear-Phase FIR Filter Using the α-scaled Sampling Kernel

Design of a Sharp Linear-Phase FIR Filter Using the α-scaled Sampling Kernel Proceedings of the 6th WSEAS International Conference on SIGNAL PROCESSING, Dallas, Texas, USA, March 22-24, 2007 129 Design of a Sharp Linear-Phase FIR Filter Using the -scaled Sampling Kernel K.J. Kim,

More information

Continuously Variable Bandwidth Sharp FIR Filters with Low Complexity

Continuously Variable Bandwidth Sharp FIR Filters with Low Complexity Journal of Signal and Information Processing, 2012, 3, 308-315 http://dx.doi.org/10.4236/sip.2012.33040 Published Online August 2012 (http://www.scirp.org/ournal/sip) Continuously Variable Bandwidth Sharp

More information

ECE438 - Laboratory 7a: Digital Filter Design (Week 1) By Prof. Charles Bouman and Prof. Mireille Boutin Fall 2015

ECE438 - Laboratory 7a: Digital Filter Design (Week 1) By Prof. Charles Bouman and Prof. Mireille Boutin Fall 2015 Purdue University: ECE438 - Digital Signal Processing with Applications 1 ECE438 - Laboratory 7a: Digital Filter Design (Week 1) By Prof. Charles Bouman and Prof. Mireille Boutin Fall 2015 1 Introduction

More information

DIGITAL FILTERS. !! Finite Impulse Response (FIR) !! Infinite Impulse Response (IIR) !! Background. !! Matlab functions AGC DSP AGC DSP

DIGITAL FILTERS. !! Finite Impulse Response (FIR) !! Infinite Impulse Response (IIR) !! Background. !! Matlab functions AGC DSP AGC DSP DIGITAL FILTERS!! Finite Impulse Response (FIR)!! Infinite Impulse Response (IIR)!! Background!! Matlab functions 1!! Only the magnitude approximation problem!! Four basic types of ideal filters with magnitude

More information

NH 67, Karur Trichy Highways, Puliyur C.F, Karur District DEPARTMENT OF INFORMATION TECHNOLOGY DIGITAL SIGNAL PROCESSING UNIT 3

NH 67, Karur Trichy Highways, Puliyur C.F, Karur District DEPARTMENT OF INFORMATION TECHNOLOGY DIGITAL SIGNAL PROCESSING UNIT 3 NH 67, Karur Trichy Highways, Puliyur C.F, 639 114 Karur District DEPARTMENT OF INFORMATION TECHNOLOGY DIGITAL SIGNAL PROCESSING UNIT 3 IIR FILTER DESIGN Structure of IIR System design of Discrete time

More information

Design of Two-Channel Low-Delay FIR Filter Banks Using Constrained Optimization

Design of Two-Channel Low-Delay FIR Filter Banks Using Constrained Optimization Journal of Computing and Information Technology - CIT 8,, 4, 341 348 341 Design of Two-Channel Low-Delay FIR Filter Banks Using Constrained Optimization Robert Bregović and Tapio Saramäki Signal Processing

More information

Multirate DSP, part 1: Upsampling and downsampling

Multirate DSP, part 1: Upsampling and downsampling Multirate DSP, part 1: Upsampling and downsampling Li Tan - April 21, 2008 Order this book today at www.elsevierdirect.com or by calling 1-800-545-2522 and receive an additional 20% discount. Use promotion

More information

ELEC-C5230 Digitaalisen signaalinkäsittelyn perusteet

ELEC-C5230 Digitaalisen signaalinkäsittelyn perusteet ELEC-C5230 Digitaalisen signaalinkäsittelyn perusteet Lecture 10: Summary Taneli Riihonen 16.05.2016 Lecture 10 in Course Book Sanjit K. Mitra, Digital Signal Processing: A Computer-Based Approach, 4th

More information

Digital Filters IIR (& Their Corresponding Analog Filters) Week Date Lecture Title

Digital Filters IIR (& Their Corresponding Analog Filters) Week Date Lecture Title http://elec3004.com Digital Filters IIR (& Their Corresponding Analog Filters) 2017 School of Information Technology and Electrical Engineering at The University of Queensland Lecture Schedule: Week Date

More information

B.Tech III Year II Semester (R13) Regular & Supplementary Examinations May/June 2017 DIGITAL SIGNAL PROCESSING (Common to ECE and EIE)

B.Tech III Year II Semester (R13) Regular & Supplementary Examinations May/June 2017 DIGITAL SIGNAL PROCESSING (Common to ECE and EIE) Code: 13A04602 R13 B.Tech III Year II Semester (R13) Regular & Supplementary Examinations May/June 2017 (Common to ECE and EIE) PART A (Compulsory Question) 1 Answer the following: (10 X 02 = 20 Marks)

More information

Frequency-Response Masking FIR Filters

Frequency-Response Masking FIR Filters Frequency-Response Masking FIR Filters Georg Holzmann June 14, 2007 With the frequency-response masking technique it is possible to design sharp and linear phase FIR filters. Therefore a model filter and

More information

Decision Feedback Equalization for Filter Bank Multicarrier Systems

Decision Feedback Equalization for Filter Bank Multicarrier Systems Decision Feedback Equalization for Filter Bank Multicarrier Systems Abhishek B G, Dr. K Sreelakshmi, Desanna M M.Tech Student, Department of Telecommunication, R. V. College of Engineering, Bengaluru,

More information

On the design and efficient implementation of the Farrow structure. Citation Ieee Signal Processing Letters, 2003, v. 10 n. 7, p.

On the design and efficient implementation of the Farrow structure. Citation Ieee Signal Processing Letters, 2003, v. 10 n. 7, p. Title On the design and efficient implementation of the Farrow structure Author(s) Pun, CKS; Wu, YC; Chan, SC; Ho, KL Citation Ieee Signal Processing Letters, 2003, v. 10 n. 7, p. 189-192 Issued Date 2003

More information

Simulation of Frequency Response Masking Approach for FIR Filter design

Simulation of Frequency Response Masking Approach for FIR Filter design Simulation of Frequency Response Masking Approach for FIR Filter design USMAN ALI, SHAHID A. KHAN Department of Electrical Engineering COMSATS Institute of Information Technology, Abbottabad (Pakistan)

More information

On the Most Efficient M-Path Recursive Filter Structures and User Friendly Algorithms To Compute Their Coefficients

On the Most Efficient M-Path Recursive Filter Structures and User Friendly Algorithms To Compute Their Coefficients On the ost Efficient -Path Recursive Filter Structures and User Friendly Algorithms To Compute Their Coefficients Kartik Nagappa Qualcomm kartikn@qualcomm.com ABSTRACT The standard design procedure for

More information

Module 9: Multirate Digital Signal Processing Prof. Eliathamby Ambikairajah Dr. Tharmarajah Thiruvaran School of Electrical Engineering &

Module 9: Multirate Digital Signal Processing Prof. Eliathamby Ambikairajah Dr. Tharmarajah Thiruvaran School of Electrical Engineering & odule 9: ultirate Digital Signal Processing Prof. Eliathamby Ambikairajah Dr. Tharmarajah Thiruvaran School of Electrical Engineering & Telecommunications The University of New South Wales Australia ultirate

More information

Signals. Continuous valued or discrete valued Can the signal take any value or only discrete values?

Signals. Continuous valued or discrete valued Can the signal take any value or only discrete values? Signals Continuous time or discrete time Is the signal continuous or sampled in time? Continuous valued or discrete valued Can the signal take any value or only discrete values? Deterministic versus random

More information

DSP Laboratory (EELE 4110) Lab#10 Finite Impulse Response (FIR) Filters

DSP Laboratory (EELE 4110) Lab#10 Finite Impulse Response (FIR) Filters Islamic University of Gaza OBJECTIVES: Faculty of Engineering Electrical Engineering Department Spring-2011 DSP Laboratory (EELE 4110) Lab#10 Finite Impulse Response (FIR) Filters To demonstrate the concept

More information

Interpolated Lowpass FIR Filters

Interpolated Lowpass FIR Filters 24 COMP.DSP Conference; Cannon Falls, MN, July 29-3, 24 Interpolated Lowpass FIR Filters Speaker: Richard Lyons Besser Associates E-mail: r.lyons@ieee.com 1 Prototype h p (k) 2 4 k 6 8 1 Shaping h sh (k)

More information

Multirate DSP, part 3: ADC oversampling

Multirate DSP, part 3: ADC oversampling Multirate DSP, part 3: ADC oversampling Li Tan - May 04, 2008 Order this book today at www.elsevierdirect.com or by calling 1-800-545-2522 and receive an additional 20% discount. Use promotion code 92562

More information

Interpolation Filters for the GNURadio+USRP2 Platform

Interpolation Filters for the GNURadio+USRP2 Platform Interpolation Filters for the GNURadio+USRP2 Platform Project Report for the Course 442.087 Seminar/Projekt Signal Processing 0173820 Hermann Kureck 1 Executive Summary The USRP2 platform is a typical

More information

Design of IIR Half-Band Filters with Arbitrary Flatness and Its Application to Filter Banks

Design of IIR Half-Band Filters with Arbitrary Flatness and Its Application to Filter Banks Electronics and Communications in Japan, Part 3, Vol. 87, No. 1, 2004 Translated from Denshi Joho Tsushin Gakkai Ronbunshi, Vol. J86-A, No. 2, February 2003, pp. 134 141 Design of IIR Half-Band Filters

More information

Digital Processing of Continuous-Time Signals

Digital Processing of Continuous-Time Signals Chapter 4 Digital Processing of Continuous-Time Signals 清大電機系林嘉文 cwlin@ee.nthu.edu.tw 03-5731152 Original PowerPoint slides prepared by S. K. Mitra 4-1-1 Digital Processing of Continuous-Time Signals Digital

More information

Optimal Design RRC Pulse Shape Polyphase FIR Decimation Filter for Multi-Standard Wireless Transceivers

Optimal Design RRC Pulse Shape Polyphase FIR Decimation Filter for Multi-Standard Wireless Transceivers Optimal Design RRC Pulse Shape Polyphase FIR Decimation Filter for ulti-standard Wireless Transceivers ANDEEP SINGH SAINI 1, RAJIV KUAR 2 1.Tech (E.C.E), Guru Nanak Dev Engineering College, Ludhiana, P.

More information

Digital Processing of

Digital Processing of Chapter 4 Digital Processing of Continuous-Time Signals 清大電機系林嘉文 cwlin@ee.nthu.edu.tw 03-5731152 Original PowerPoint slides prepared by S. K. Mitra 4-1-1 Digital Processing of Continuous-Time Signals Digital

More information

Advanced Digital Signal Processing Part 5: Digital Filters

Advanced Digital Signal Processing Part 5: Digital Filters Advanced Digital Signal Processing Part 5: Digital Filters Gerhard Schmidt Christian-Albrechts-Universität zu Kiel Faculty of Engineering Institute of Electrical and Information Engineering Digital Signal

More information

2) How fast can we implement these in a system

2) How fast can we implement these in a system Filtration Now that we have looked at the concept of interpolation we have seen practically that a "digital filter" (hold, or interpolate) can affect the frequency response of the overall system. We need

More information

McGraw-Hill Irwin DIGITAL SIGNAL PROCESSING. A Computer-Based Approach. Second Edition. Sanjit K. Mitra

McGraw-Hill Irwin DIGITAL SIGNAL PROCESSING. A Computer-Based Approach. Second Edition. Sanjit K. Mitra DIGITAL SIGNAL PROCESSING A Computer-Based Approach Second Edition Sanjit K. Mitra Department of Electrical and Computer Engineering University of California, Santa Barbara Jurgen - Knorr- Kbliothek Spende

More information

Outline. Discrete time signals. Impulse sampling z-transform Frequency response Stability INF4420. Jørgen Andreas Michaelsen Spring / 37 2 / 37

Outline. Discrete time signals. Impulse sampling z-transform Frequency response Stability INF4420. Jørgen Andreas Michaelsen Spring / 37 2 / 37 INF4420 Discrete time signals Jørgen Andreas Michaelsen Spring 2013 1 / 37 Outline Impulse sampling z-transform Frequency response Stability Spring 2013 Discrete time signals 2 2 / 37 Introduction More

More information

Quantized Coefficient F.I.R. Filter for the Design of Filter Bank

Quantized Coefficient F.I.R. Filter for the Design of Filter Bank Quantized Coefficient F.I.R. Filter for the Design of Filter Bank Rajeev Singh Dohare 1, Prof. Shilpa Datar 2 1 PG Student, Department of Electronics and communication Engineering, S.A.T.I. Vidisha, INDIA

More information

UNIT IV FIR FILTER DESIGN 1. How phase distortion and delay distortion are introduced? The phase distortion is introduced when the phase characteristics of a filter is nonlinear within the desired frequency

More information

Final Exam Practice Questions for Music 421, with Solutions

Final Exam Practice Questions for Music 421, with Solutions Final Exam Practice Questions for Music 4, with Solutions Elementary Fourier Relationships. For the window w = [/,,/ ], what is (a) the dc magnitude of the window transform? + (b) the magnitude at half

More information

ECE 429 / 529 Digital Signal Processing

ECE 429 / 529 Digital Signal Processing ECE 429 / 529 Course Policy & Syllabus R. N. Strickland SYLLABUS ECE 429 / 529 Digital Signal Processing SPRING 2009 I. Introduction DSP is concerned with the digital representation of signals and the

More information

Two-Dimensional Wavelets with Complementary Filter Banks

Two-Dimensional Wavelets with Complementary Filter Banks Tendências em Matemática Aplicada e Computacional, 1, No. 1 (2000), 1-8. Sociedade Brasileira de Matemática Aplicada e Computacional. Two-Dimensional Wavelets with Complementary Filter Banks M.G. ALMEIDA

More information

Chapter 9. Chapter 9 275

Chapter 9. Chapter 9 275 Chapter 9 Chapter 9: Multirate Digital Signal Processing... 76 9. Decimation... 76 9. Interpolation... 8 9.. Linear Interpolation... 85 9.. Sampling rate conversion by Non-integer factors... 86 9.. Illustration

More information

ECE 6560 Multirate Signal Processing Lecture 9

ECE 6560 Multirate Signal Processing Lecture 9 Multirate Signal Processing Lecture 9 Dr. Bradley J. Bazuin estern Michigan University College of Engineering and Applied Sciences Department of Electrical and Computer Engineering 193. Michigan Ave. Kalamazoo

More information

Multirate Filtering, Resampling Filters, Polyphase Filters. or how to make efficient FIR filters

Multirate Filtering, Resampling Filters, Polyphase Filters. or how to make efficient FIR filters Multirate Filtering, Resampling Filters, Polyphase Filters or how to make efficient FIR filters THE NOBLE IDENTITY 1 Efficient Implementation of Resampling filters H(z M ) M:1 M:1 H(z) Rule 1: Filtering

More information

Digital Signal Processing

Digital Signal Processing Digital Signal Processing Lecture 9 Discrete-Time Processing of Continuous-Time Signals Alp Ertürk alp.erturk@kocaeli.edu.tr Analog to Digital Conversion Most real life signals are analog signals These

More information

DECIMATION FILTER FOR MULTISTANDARD WIRELESS RECEIVER SHEETAL S.SHENDE

DECIMATION FILTER FOR MULTISTANDARD WIRELESS RECEIVER SHEETAL S.SHENDE DECIMATION FILTER FOR MULTISTANDARD WIRELESS RECEIVER SHEETAL S.SHENDE Abstract The demand for new telecommunication services requiring higher capacities, data rates and different operating modes have

More information

DISCRETE FOURIER TRANSFORM AND FILTER DESIGN

DISCRETE FOURIER TRANSFORM AND FILTER DESIGN DISCRETE FOURIER TRANSFORM AND FILTER DESIGN N. C. State University CSC557 Multimedia Computing and Networking Fall 2001 Lecture # 03 Spectrum of a Square Wave 2 Results of Some Filters 3 Notation 4 x[n]

More information

FPGA Based Design of Multi Mode Transmultiplexer Structure for Communication Systems

FPGA Based Design of Multi Mode Transmultiplexer Structure for Communication Systems IOSR Journal of VLSI and Signal Processing (IOSR-JVSP) Volume 2, Issue 5 (May. Jun. 2013), PP 17-22 e-issn: 2319 4200, p-issn No. : 2319 4197 FPGA Based Design of Multi Mode Transmultiplexer Structure

More information

On-Chip Implementation of Cascaded Integrated Comb filters (CIC) for DSP applications

On-Chip Implementation of Cascaded Integrated Comb filters (CIC) for DSP applications On-Chip Implementation of Cascaded Integrated Comb filters (CIC) for DSP applications Rozita Teymourzadeh & Prof. Dr. Masuri Othman VLSI Design Centre BlokInovasi2, Fakulti Kejuruteraan, University Kebangsaan

More information

EE 470 Signals and Systems

EE 470 Signals and Systems EE 470 Signals and Systems 9. Introduction to the Design of Discrete Filters Prof. Yasser Mostafa Kadah Textbook Luis Chapparo, Signals and Systems Using Matlab, 2 nd ed., Academic Press, 2015. Filters

More information

Design Of Multirate Linear Phase Decimation Filters For Oversampling Adcs

Design Of Multirate Linear Phase Decimation Filters For Oversampling Adcs Design Of Multirate Linear Phase Decimation Filters For Oversampling Adcs Phanendrababu H, ArvindChoubey Abstract:This brief presents the design of a audio pass band decimation filter for Delta-Sigma analog-to-digital

More information

EUSIPCO

EUSIPCO EUSIPCO 23 569742569 SIULATION ETHODOLOGY FOR HYBRID FILTER BANK ANALOG TO DIGITAL CONVERTERS Boguslaw Szlachetko,, Olivier Venard, Dpt of Systems Engineering, ESIEE Paris, Noisy Le Grand, France Dpt of

More information

CHAPTER 4 DESIGN OF DIGITAL DOWN CONVERTER AND SAMPLE RATE CONVERTER FOR DIGITAL FRONT- END OF SDR

CHAPTER 4 DESIGN OF DIGITAL DOWN CONVERTER AND SAMPLE RATE CONVERTER FOR DIGITAL FRONT- END OF SDR 95 CHAPTER 4 DESIGN OF DIGITAL DOWN CONVERTER AND SAMPLE RATE CONVERTER FOR DIGITAL FRONT- END OF SDR 4. 1 INTRODUCTION Several mobile communication standards are currently in service in various parts

More information

Signal Processing Techniques for Software Radio

Signal Processing Techniques for Software Radio Signal Processing Techniques for Software Radio Behrouz Farhang-Boroujeny Department of Electrical and Computer Engineering University of Utah c 2007, Behrouz Farhang-Boroujeny, ECE Department, University

More information

Multiple Constant Multiplication for Digit-Serial Implementation of Low Power FIR Filters

Multiple Constant Multiplication for Digit-Serial Implementation of Low Power FIR Filters Multiple Constant Multiplication for igit-serial Implementation of Low Power FIR Filters KENNY JOHANSSON, OSCAR GUSTAFSSON, and LARS WANHAMMAR epartment of Electrical Engineering Linköping University SE-8

More information

Implementation of CIC filter for DUC/DDC

Implementation of CIC filter for DUC/DDC Implementation of CIC filter for DUC/DDC R Vaishnavi #1, V Elamaran #2 #1 Department of Electronics and Communication Engineering School of EEE, SASTRA University Thanjavur, India rvaishnavi26@gmail.com

More information

F I R Filter (Finite Impulse Response)

F I R Filter (Finite Impulse Response) F I R Filter (Finite Impulse Response) Ir. Dadang Gunawan, Ph.D Electrical Engineering University of Indonesia The Outline 7.1 State-of-the-art 7.2 Type of Linear Phase Filter 7.3 Summary of 4 Types FIR

More information

A New Low Complexity Uniform Filter Bank Based on the Improved Coefficient Decimation Method

A New Low Complexity Uniform Filter Bank Based on the Improved Coefficient Decimation Method 34 A. ABEDE, K. G. SITHA, A. P. VINOD, A NEW LOW COPLEXITY UNIFOR FILTER BANK A New Low Complexity Uniform Filter Bank Based on the Improved Coefficient Decimation ethod Abhishek ABEDE, Kavallur Gopi SITHA,

More information

IIR Ultra-Wideband Pulse Shaper Design

IIR Ultra-Wideband Pulse Shaper Design IIR Ultra-Wideband Pulse Shaper esign Chun-Yang Chen and P. P. Vaidyanathan ept. of Electrical Engineering, MC 36-93 California Institute of Technology, Pasadena, CA 95, USA E-mail: cyc@caltech.edu, ppvnath@systems.caltech.edu

More information

Trade-Offs in Multiplier Block Algorithms for Low Power Digit-Serial FIR Filters

Trade-Offs in Multiplier Block Algorithms for Low Power Digit-Serial FIR Filters Proceedings of the th WSEAS International Conference on CIRCUITS, Vouliagmeni, Athens, Greece, July -, (pp3-39) Trade-Offs in Multiplier Block Algorithms for Low Power Digit-Serial FIR Filters KENNY JOHANSSON,

More information

2.1 BASIC CONCEPTS Basic Operations on Signals Time Shifting. Figure 2.2 Time shifting of a signal. Time Reversal.

2.1 BASIC CONCEPTS Basic Operations on Signals Time Shifting. Figure 2.2 Time shifting of a signal. Time Reversal. 1 2.1 BASIC CONCEPTS 2.1.1 Basic Operations on Signals Time Shifting. Figure 2.2 Time shifting of a signal. Time Reversal. 2 Time Scaling. Figure 2.4 Time scaling of a signal. 2.1.2 Classification of Signals

More information

Performance Analysis of FIR Filter Design Using Reconfigurable Mac Unit

Performance Analysis of FIR Filter Design Using Reconfigurable Mac Unit Volume 4 Issue 4 December 2016 ISSN: 2320-9984 (Online) International Journal of Modern Engineering & Management Research Website: www.ijmemr.org Performance Analysis of FIR Filter Design Using Reconfigurable

More information

EC6502 PRINCIPLES OF DIGITAL SIGNAL PROCESSING

EC6502 PRINCIPLES OF DIGITAL SIGNAL PROCESSING 1. State the properties of DFT? UNIT-I DISCRETE FOURIER TRANSFORM 1) Periodicity 2) Linearity and symmetry 3) Multiplication of two DFTs 4) Circular convolution 5) Time reversal 6) Circular time shift

More information

Team proposals are due tomorrow at 6PM Homework 4 is due next thur. Proposal presentations are next mon in 1311EECS.

Team proposals are due tomorrow at 6PM Homework 4 is due next thur. Proposal presentations are next mon in 1311EECS. Lecture 8 Today: Announcements: References: FIR filter design IIR filter design Filter roundoff and overflow sensitivity Team proposals are due tomorrow at 6PM Homework 4 is due next thur. Proposal presentations

More information

ON SYMBOL TIMING RECOVERY IN ALL-DIGITAL RECEIVERS

ON SYMBOL TIMING RECOVERY IN ALL-DIGITAL RECEIVERS ON SYMBOL TIMING RECOVERY IN ALL-DIGITAL RECEIVERS 1 Ali A. Ghrayeb New Mexico State University, Box 30001, Dept 3-O, Las Cruces, NM, 88003 (e-mail: aghrayeb@nmsu.edu) ABSTRACT Sandia National Laboratories

More information

RECENTLY, single-carrier (SC) digital modulation has

RECENTLY, single-carrier (SC) digital modulation has IEEE TRANSACTIONS ON COMMUNICATIONS, VOL 55, NO 6, JUNE 2007 1125 Redundant Paraunitary FIR Transceivers for Single-Carrier Transmission Over Frequency Selective Channels With Colored Noise Miguel B Furtado,

More information

Low Complexity Spectrum Sensing using Variable Digital Filters for Cognitive Radio based Air-Ground Communication

Low Complexity Spectrum Sensing using Variable Digital Filters for Cognitive Radio based Air-Ground Communication Low Complexity Spectrum Sensing using Variable Digital Filters for Cognitive Radio based Air-Ground Communication Abhishek Ambede #, Smitha K. G. and A. P. Vinod School of Computer Engineering, Nanyang

More information

NON-UNIFORM SIGNALING OVER BAND-LIMITED CHANNELS: A Multirate Signal Processing Approach. Omid Jahromi, ID:

NON-UNIFORM SIGNALING OVER BAND-LIMITED CHANNELS: A Multirate Signal Processing Approach. Omid Jahromi, ID: NON-UNIFORM SIGNALING OVER BAND-LIMITED CHANNELS: A Multirate Signal Processing Approach ECE 1520S DATA COMMUNICATIONS-I Final Exam Project By: Omid Jahromi, ID: 009857325 Systems Control Group, Dept.

More information

Almost Perfect Reconstruction Filter Bank for Non-redundant, Approximately Shift-Invariant, Complex Wavelet Transforms

Almost Perfect Reconstruction Filter Bank for Non-redundant, Approximately Shift-Invariant, Complex Wavelet Transforms Journal of Wavelet Theory and Applications. ISSN 973-6336 Volume 2, Number (28), pp. 4 Research India Publications http://www.ripublication.com/jwta.htm Almost Perfect Reconstruction Filter Bank for Non-redundant,

More information

Concordia University. Discrete-Time Signal Processing. Lab Manual (ELEC442) Dr. Wei-Ping Zhu

Concordia University. Discrete-Time Signal Processing. Lab Manual (ELEC442) Dr. Wei-Ping Zhu Concordia University Discrete-Time Signal Processing Lab Manual (ELEC442) Course Instructor: Dr. Wei-Ping Zhu Fall 2012 Lab 1: Linear Constant Coefficient Difference Equations (LCCDE) Objective In this

More information

Aparna Tiwari, Vandana Thakre, Karuna Markam Deptt. Of ECE,M.I.T.S. Gwalior, M.P, India

Aparna Tiwari, Vandana Thakre, Karuna Markam Deptt. Of ECE,M.I.T.S. Gwalior, M.P, India International Journal of Computer & Communication Engineering Research (IJCCER) Volume 2 - Issue 3 May 2014 Design Technique of Lowpass FIR filter using Various Function Aparna Tiwari, Vandana Thakre,

More information

Institutionen för systemteknik. Examensarbete. FPGA Implementation of a Multimode Transmultiplexer

Institutionen för systemteknik. Examensarbete. FPGA Implementation of a Multimode Transmultiplexer Institutionen för systemteknik Department of Electrical Engineering Examensarbete FPGA Implementation of a Multimode Transmultiplexer Master thesis performed in Electronics Systems by Kaveh Azizi LiTH-ISY-EX

More information

Design of FIR Filter for Efficient Utilization of Speech Signal Akanksha. Raj 1 Arshiyanaz. Khateeb 2 Fakrunnisa.Balaganur 3

Design of FIR Filter for Efficient Utilization of Speech Signal Akanksha. Raj 1 Arshiyanaz. Khateeb 2 Fakrunnisa.Balaganur 3 IJSRD - International Journal for Scientific Research & Development Vol. 3, Issue 03, 2015 ISSN (online): 2321-0613 Design of FIR Filter for Efficient Utilization of Speech Signal Akanksha. Raj 1 Arshiyanaz.

More information

1. Find the magnitude and phase response of an FIR filter represented by the difference equation y(n)= 0.5 x(n) x(n-1)

1. Find the magnitude and phase response of an FIR filter represented by the difference equation y(n)= 0.5 x(n) x(n-1) Lecture 5 1.8.1 FIR Filters FIR filters have impulse responses of finite lengths. In FIR filters the present output depends only on the past and present values of the input sequence but not on the previous

More information

Lecture 13. Introduction to OFDM

Lecture 13. Introduction to OFDM Lecture 13 Introduction to OFDM Ref: About-OFDM.pdf Orthogonal frequency division multiplexing (OFDM) is well-known to be effective against multipath distortion. It is a multicarrier communication scheme,

More information

SPARSE CHANNEL ESTIMATION BY PILOT ALLOCATION IN MIMO-OFDM SYSTEMS

SPARSE CHANNEL ESTIMATION BY PILOT ALLOCATION IN MIMO-OFDM SYSTEMS SPARSE CHANNEL ESTIMATION BY PILOT ALLOCATION IN MIMO-OFDM SYSTEMS Puneetha R 1, Dr.S.Akhila 2 1 M. Tech in Digital Communication B M S College Of Engineering Karnataka, India 2 Professor Department of

More information

SPECIFICATION AND DESIGN OF A PROTOTYPE FILTER FOR FILTER BANK BASED MULTICARRIER TRANSMISSION

SPECIFICATION AND DESIGN OF A PROTOTYPE FILTER FOR FILTER BANK BASED MULTICARRIER TRANSMISSION SPECIFICATION AND DESIGN OF A PROTOTYPE FILTER FOR FILTER BANK BASED MULTICARRIER TRANSMISSION Maurice G. Bellanger CNAM-Electronique, 9 rue Saint-Martin, 754 Paris cedex 3, France (bellang@cnam.fr) ABSTRACT

More information

Project I: Phase Tracking and Baud Timing Correction Systems

Project I: Phase Tracking and Baud Timing Correction Systems Project I: Phase Tracking and Baud Timing Correction Systems ECES 631, Prof. John MacLaren Walsh, Ph. D. 1 Purpose In this lab you will encounter the utility of the fundamental Fourier and z-transform

More information

EE390 Final Exam Fall Term 2002 Friday, December 13, 2002

EE390 Final Exam Fall Term 2002 Friday, December 13, 2002 Name Page 1 of 11 EE390 Final Exam Fall Term 2002 Friday, December 13, 2002 Notes 1. This is a 2 hour exam, starting at 9:00 am and ending at 11:00 am. The exam is worth a total of 50 marks, broken down

More information

System analysis and signal processing

System analysis and signal processing System analysis and signal processing with emphasis on the use of MATLAB PHILIP DENBIGH University of Sussex ADDISON-WESLEY Harlow, England Reading, Massachusetts Menlow Park, California New York Don Mills,

More information

Real-Time Digital Down-Conversion with Equalization

Real-Time Digital Down-Conversion with Equalization Real-Time Digital Down-Conversion with Equalization February 20, 2019 By Alexander Taratorin, Anatoli Stein, Valeriy Serebryanskiy and Lauri Viitas DOWN CONVERSION PRINCIPLE Down conversion is basic operation

More information

Channelization and Frequency Tuning using FPGA for UMTS Baseband Application

Channelization and Frequency Tuning using FPGA for UMTS Baseband Application Channelization and Frequency Tuning using FPGA for UMTS Baseband Application Prof. Mahesh M.Gadag Communication Engineering, S. D. M. College of Engineering & Technology, Dharwad, Karnataka, India Mr.

More information

Proceedings of the 5th WSEAS Int. Conf. on SIGNAL, SPEECH and IMAGE PROCESSING, Corfu, Greece, August 17-19, 2005 (pp17-21)

Proceedings of the 5th WSEAS Int. Conf. on SIGNAL, SPEECH and IMAGE PROCESSING, Corfu, Greece, August 17-19, 2005 (pp17-21) Ambiguity Function Computation Using Over-Sampled DFT Filter Banks ENNETH P. BENTZ The Aerospace Corporation 5049 Conference Center Dr. Chantilly, VA, USA 90245-469 Abstract: - This paper will demonstrate

More information

1. Clearly circle one answer for each part.

1. Clearly circle one answer for each part. TB 1-9 / Exam Style Questions 1 EXAM STYLE QUESTIONS Covering Chapters 1-9 of Telecommunication Breakdown 1. Clearly circle one answer for each part. (a) TRUE or FALSE: Absolute bandwidth is never less

More information

Multirate Signal Processing

Multirate Signal Processing Chapter 5 Multirate Signal Processing In a software defined radio, one often has to deal with sampled wideband signals that contain a multitude of different user signals. Part of the receiver s task is

More information

School of Computer Engineering, Supelec, Rennes Nanyang Technological University, France SCEE. Singapore

School of Computer Engineering, Supelec, Rennes Nanyang Technological University, France SCEE. Singapore FLEXIBILITY, HARDWARE REUSE AND POWER CONSUMPTION ISSUES IN THE DIGITAL FRONT-END OF MULTISTANDARD SDR HANDSETS Navin Michael SCEE School of Computer Engineering, Supelec, Rennes Nanyang Technological

More information

Optimal Sharpening of CIC Filters and An Efficient Implementation Through Saramäki-Ritoniemi Decimation Filter Structure (Extended Version)

Optimal Sharpening of CIC Filters and An Efficient Implementation Through Saramäki-Ritoniemi Decimation Filter Structure (Extended Version) Optimal Sharpening of CIC Filters and An Efficient Implementation Through Saramäki-Ritoniemi Decimation Filter Structure (Extended Version) Ça gatay Candan Department of Electrical Engineering, ETU, Ankara,

More information

DISCRETE-TIME CHANNELIZERS FOR AERONAUTICAL TELEMETRY: PART II VARIABLE BANDWIDTH

DISCRETE-TIME CHANNELIZERS FOR AERONAUTICAL TELEMETRY: PART II VARIABLE BANDWIDTH DISCRETE-TIME CHANNELIZERS FOR AERONAUTICAL TELEMETRY: PART II VARIABLE BANDWIDTH Brian Swenson, Michael Rice Brigham Young University Provo, Utah, USA ABSTRACT A discrete-time channelizer capable of variable

More information