Formal Hardware Verification: Theory Meets Practice

Similar documents
Lies, Damned Lies and Hardware Verification. Mike Bartley, Test and Verification Solutions

VLSI Design at Intel. Dr. Steve Haynal. Formerly with Strategic CAD Labs, Intel

CS4617 Computer Architecture

Pre-Silicon Validation of Hyper-Threading Technology

Lecture 0: Introduction

Overview of Design Methodology. A Few Points Before We Start 11/4/2012. All About Handling The Complexity. Lecture 1. Put things into perspective

Datorstödd Elektronikkonstruktion

Intel's 65 nm Logic Technology Demonstrated on 0.57 µm 2 SRAM Cells

EECS 427 Lecture 21: Design for Test (DFT) Reminders

Policy-Based RTL Design

Meeting the Challenges of Formal Verification

Lecture 1: Introduction to Digital System Design & Co-Design

Giovanni Squillero

ΕΠΛ 605: Προχωρημένη Αρχιτεκτονική

Model checking in the cloud VIGYAN SINGHAL OSKI TECHNOLOGY

On-chip Networks in Multi-core era

Computer Aided Design of Electronics

CHAPTER 4 FIELD PROGRAMMABLE GATE ARRAY IMPLEMENTATION OF FIVE LEVEL CASCADED MULTILEVEL INVERTER

Introduction to co-simulation. What is HW-SW co-simulation?

Digital Systems Design

High Level Formal Verification of Next-Generation Microprocessors

Low Power Design Part I Introduction and VHDL design. Ricardo Santos LSCAD/FACOM/UFMS

Software Eng. 2F03: Logic For Software Engineering

Trends and Challenges in VLSI Technology Scaling Towards 100nm

In 1951 William Shockley developed the world first junction transistor. One year later Geoffrey W. A. Dummer published the concept of the integrated

Chapter 1 Introduction to VLSI Testing

Chapter 3. H/w s/w interface. hardware software Vijaykumar ECE495K Lecture Notes: Chapter 3 1

Lecture 16: Design for Testability. MAH, AEN EE271 Lecture 16 1

CMOS VLSI IC Design. A decent understanding of all tasks required to design and fabricate a chip takes years of experience

FUNCTIONAL VERIFICATION: APPROACHES AND CHALLENGES

Parallel Computing 2020: Preparing for the Post-Moore Era. Marc Snir

Overview. 1 Trends in Microprocessor Architecture. Computer architecture. Computer architecture

Low-Power VLSI. Seong-Ook Jung VLSI SYSTEM LAB, YONSEI University School of Electrical & Electronic Engineering

Exploring the Basics of AC Scan

Getting to Work with OpenPiton. Princeton University. OpenPit

Lecture 1. Tinoosh Mohsenin

COEN7501: Formal Hardware Verification

write-nanocircuits Direct-write Jaebum Joo and Joseph M. Jacobson Molecular Machines, Media Lab Massachusetts Institute of Technology, Cambridge, MA

EECS 579 Fall What is Testing?

Kenneth R. Laker, University of Pennsylvania, updated 20Jan15

Homework 10 posted just for practice. Office hours next week, schedule TBD. HKN review today. Your feedback is important!

Copyright 2003 The McGraw-Hill Companies, Inc. Permission required for reproduction or display. Slides prepared by Walid A. Najjar & Brian J.

VLSI Physical Design Prof. Indranil Sengupta Department of Computer Science and Engineering Indian Institute of Technology, Kharagpur

A new 6-T multiplexer based full-adder for low power and leakage current optimization

MDLL & Slave Delay Line performance analysis using novel delay modeling

STM RH-ASIC capability

The challenges of low power design Karen Yorav

Course Outcome of M.Tech (VLSI Design)

EE 434 Lecture 2. Basic Concepts

Audio Sample Rate Conversion in FPGAs

Interconnect-Power Dissipation in a Microprocessor

Introduction to adoption of lean canvas in software test architecture design

The Need for Gate-Level CDC

EDA Challenges for Low Power Design. Anand Iyer, Cadence Design Systems

Lecture 1: Digital Systems and VLSI

Electrical Engineering 40 Introduction to Microelectronic Circuits

Computer Science as a Discipline

Challenges of in-circuit functional timing testing of System-on-a-Chip

FPGA Based System Design

Lecture 10. Circuit Pitfalls

CMOS Process Variations: A Critical Operation Point Hypothesis

ECEN 4606, UNDERGRADUATE OPTICS LAB

PROCESS-VOLTAGE-TEMPERATURE (PVT) VARIATIONS AND STATIC TIMING ANALYSIS

A Balanced Introduction to Computer Science, 3/E

Digital Fundamentals. Lab 4 EX-OR Circuits & Combinational Circuit Design

ACHIEVING SCALABLE HARDWARE VERIFICATION WITH SYMBOLIC SIMULATION

Amber Path FX SPICE Accurate Statistical Timing for 40nm and Below Traditional Sign-Off Wastes 20% of the Timing Margin at 40nm

Lecture Perspectives. Administrivia

Design of Mixed-Signal Microsystems in Nanometer CMOS

Theorem Proving and Model Checking

Design for Reliability --

Lecture 0: Introduction

CS302 - Digital Logic Design Glossary By

Design as You See FIT: System-Level Soft Error Analysis of Sequential Circuits

STMicroelectronics VL53L0B ToF Proximity Sensor

Lecture 30. Perspectives. Digital Integrated Circuits Perspectives

Introduction. Digital Integrated Circuits A Design Perspective. Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic. July 30, 2002

Spiral 1 / Unit 8. Transistor Implementations CMOS Logic Gates

ASIC Computer-Aided Design Flow ELEC 5250/6250

Totally Self-Checking Carry-Select Adder Design Based on Two-Rail Code

The future of lithography and its impact on design

MICROPROCESSOR TECHNOLOGY

Practical Information

+1 (479)

Guaranteeing Silicon Performance with FPGA Timing Models

Goodix GF6648 Touch Fingerprint Sensor. Exploratory Analysis

Lecture #1. Course Overview

Design of High Speed Power Efficient Combinational and Sequential Circuits Using Reversible Logic

2010 IRI Annual Meeting R&D in Transition

Bosch Sensortec BMI160 Low Power IMU

PE713 FPGA Based System Design

Si Photonics Technology Platform for High Speed Optical Interconnect. Peter De Dobbelaere 9/17/2012

EE-382M-8 VLSI II. Early Design Planning: Back End. Mark McDermott. The University of Texas at Austin. EE 382M-8 VLSI-2 Page Foil # 1 1

ECE 484 VLSI Digital Circuits Fall Lecture 02: Design Metrics

1. Introduction. Institute of Microelectronic Systems. Status of Microelectronics Technology. (nm) Core voltage (V) Gate oxide thickness t OX

REVOLUTIONIZING THE COMPUTING LANDSCAPE AND BEYOND.

Semiconductor Security Techniques Utilizing Invisible Bias Generators

Testing of Complex Digital Chips. Juri Schmidt Advanced Seminar

PC accounts for 353 Cory will be created early next week (when the class list is completed) Discussions & Labs start in Week 3

Variation-Aware Design for Nanometer Generation LSI

Transcription:

Formal Hardware Verification: Theory Meets Practice Dr. Carl Seger Senior Principal Engineer Tools, Flows and Method Group Server Division Intel Corp. June 24, 2015 1

Quiz 1 Small Numbers Order the following in order of size (smallest first) Influenza A virus Transistor in microprocessor as of June 2015 Water molecule Resolution of optical microscope 2

Answer Quiz 1 Order the following in order of size (smallest first) ~100nm ~14nm ~0.3nm ~300nm 3 2 1 4 Influenza A virus Transistor in microprocessor as of May 2014 Water molecule Resolution of optical microscope 3

Quiz 2 Large Numbers Order the following in order of size (largest first) Number of light bulbs in the world Number of atoms in the Empire State Building Number of transistors in a 2014 cell phone Number of patterns needed to simulate all possible inputs to one AVX instruction (two 256-bit inputs) 4

Answer Quiz 2 Order the following in order of size (largest first) ~10 10 ~10 31 ~10 11 ~10 154 4 2 3 1 Number of light bulbs in the world Number of atoms in the Empire State Building Number of transistors in a 2014 cell phone Number of patterns needed to simulate all possible inputs to one AVX instruction (two 256-bit inputs) 5

The Design Process at 10,000 ft Ideas Architect Architecture Analysis Micro- Architect Development of microarchitecture Design Engineer Mapping of RTL to transistors Mask Designer Development of mask that yield transistors and wires MAS RTL Schematics Layout/ Mask Test Engineer Making Silicon + Stepping(s) Chip Original Product Target Validation MAS: Micro-Architecture Specification RTL: Register-Transfer Language This is the theory 7

In Practice Architect Micro- Architect Design Engineer Mask Designer Test Engineer Original Product Target Validation Target Repainted to fit Reality 8

What Needs to be Validated? Functionality Performance Power & Thermal Physical form Documentation Reliability Testing procedure +?? Goal Actual 9

Functional Validation Approaches Pro Con 100 % Covered Formal Verification 100% coverage Proves absence of bugs Requires special skills Constrained by complexity Directed Random Tests Targets areas most likely to be of concern Greatly reduces cycle requirements Requires strong uarch knowledge Develops strong uarch knowledge Generic Random Tests After generator created, easy to write Requires little uarch knowledge Requires almost cycles / time Difficult / impossible to avoid broken features Can create things no one would ever think of Low % Covered Directed Tests Easy to write Easy to understand Easy to reuse Requires almost number of tests Difficult to hit uarch conditions 10

RTL Changes Constantly RTL Coding complete 3000 files, 3.5M lines total (including comments, white space) First Full-Chip RTL Model 250K lines changed in one week A0 tapeout Validation focus Functionality # Lines Changed Total # Lines of RTL # Files Checked In Timing 11

Formal Equivalence Verification Use of symbolic/algebraic methods to completely verify that a circuit implements a specification Today: 100% of a design is run through FEV before tape-out RTL FEV Schematics Extraction Layout Extremely successful application of math, logic and computer science in practical engineering! Usability high enough that every design engineer is able to run the verification. 12

Formal Property Verification Symbolic Trajectory Evaluation (STE), a form of symbolic simulation, are today used to formally verify very large computation units/blocks Complete formal property verification of all (>3,000) uops in the execution cluster of Intel processors is now routinely done - Includes all control, clock gating logic, test features etc. as well as the actual data-path computations - FPV is primary pre-si verification for this unit Combining STE with theorem proving increases the quality of specification Floating point spec is mathematical statement of IEEE standard Symbolic model checking is seeing more wide spread use Early architecture exploration/validation Control intensive designs Design driven early exploration 13

Good News / Bad News Good news: Formal verification can guarantee the correctness of extremely large and complex hardware The verification programs allow continuous regression runs, thus preventing bugs from re-appearing The verification specifications and verification scripts can often be reused for new designs Bad news: Difficult to capture control aspect accurately & robustly Knowledge intensive activity to create initial specs and verification scripts FV capacity not growing as fast as design size/complexity. Structural verification decompositions are very fragile 14

Solid Formal Link with Good Return of the Investment Ideas Architect Architecture Analysis Micro- Architect Development of microarchitecture Design Engineer Mapping of RTL to transistors Mask Designer Development of mask that yield transistors and wires MAS RTL Schematics Layout/ Mask Test Engineer Making Silicon + Stepping(s) Chip Original Product Target FPV+FEV + Extraction+DRC 16

Mind the Gap(s)... Ideas Architect Architecture Analysis Micro- Architect Development of microarchitecture Design Engineer Mapping of RTL to transistors Mask Designer Development of mask that yield transistors and wires MAS RTL Schematics Layout/ Mask Test Engineer Making Silicon + Stepping(s) Chip Original Product Target?? 17

Summary Formal HW Verification Relies heavily on Computer Science research: - Finite state machines; everything is an FSM - Lattices & Galois connections - Data structures for representing very large circuits and Boolean functions - Advanced algorithms for symbolic state machine traversal, SAT solving, etc. Is deployed widely in industry and is now usable by most designers. Is likely to have even wider use as industry is converging on Systemon-Chip designs with re-usable IP blocks How to leverage FV technology at higher level of abstraction and in mixed HW/SW system is a major research problem. 18

Finding a Needle in a Haystack vs Finding a HW bug vs. Finding a single pair of values for a double precision floating point divide operation that fails. For probability to be the same, how big should the haystack be? (Assume half-sphere haystack) Answer: Radius ~550 light years! 19