SiGe HBT Technology Development in the DOTSEVEN Project

Similar documents
BiCMOS055 Technology Offer

Lecture 020 ECE4430 Review II (1/5/04) Page 020-1

Lecture 020 ECE4430 Review II (1/5/04) Page 020-1

2.8 - CMOS TECHNOLOGY

VLSI Technology Dr. Nandita Dasgupta Department of Electrical Engineering Indian Institute of Technology, Madras

SiGe BiCMOS and Photonic technologies for high frequency and communication applications Andreas Mai

Product Catalog. Semiconductor Intellectual Property & Technology Licensing Program

Chapter 3 Basics Semiconductor Devices and Processing

Chapter 3: Basics Semiconductor Devices and Processing 2006/9/27 1. Topics

A New SiGe Base Lateral PNM Schottky Collector. Bipolar Transistor on SOI for Non Saturating. VLSI Logic Design

EECS130 Integrated Circuit Devices

Indium Phosphide and Related Materials Selectively implanted subcollector DHBTs

Process Variability and the SUPERAID7 Approach

A Millimeter-Wave Power Amplifier Concept in SiGe BiCMOS Technology for Investigating HBT Physical Limitations

Silicon Photonics in Optical Communications. Lars Zimmermann, IHP, Frankfurt (Oder), Germany

SiGe BiCMOS Technologies with RF and Photonic Modules

Maxim MAX3940E Electro-Absorption Modulator Structural Analysis

TOOLS AND ENVIRONMENT FOR SUB-THZ CIRCUIT DESIGN:

LSI ON GLASS SUBSTRATES

Session 3: Solid State Devices. Silicon on Insulator

Chapter 2 : Semiconductor Materials & Devices (II) Feb

Topic 3. CMOS Fabrication Process

BiCMOS Circuit Design

VLSI Technology Dr. Nandita Dasgupta Department of Electrical Engineering Indian Institute of Technology, Madras

PERSPECTIVES FOR DISRUPTIVE 200MM/8-INCH GAN POWER DEVICE AND GAN-IC TECHNOLOGY DR. DENIS MARCON SR. BUSINESS DEVELOPMENT MANAGER

Performance investigations of novel dual-material gate (DMG) MOSFET with dielectric pockets (DP)

Millimeter-Wave Amplifiers for E- and V-band Wireless Backhaul Erik Öjefors Sivers IMA AB

Layout of a Inverter. Topic 3. CMOS Fabrication Process. The CMOS Process - photolithography (2) The CMOS Process - photolithography (1) v o.

Bridging the Gap between Dreams and Nano-Scale Reality

CMOS Digital Integrated Circuits Lec 2 Fabrication of MOSFETs

A New High Performance Complementary Bipolar Technology Featuring 45GHz NPN and 20GHz PNP Devices.

Technology Overview. MM-Wave SiGe IC Design

ECSE-6300 IC Fabrication Laboratory Lecture 9 MOSFETs. Lecture Outline

High Performance Lateral Schottky Collector Bipolar Transistors on SOI for VLSI Applications

Innovative ultra-broadband ubiquitous Wireless communications through terahertz transceivers ibrow

INTEGRATED 0.18 MICRON RF TECHNOLOGY PLATFORM WITH 1.

Gallium nitride (GaN)

Fabrication, Corner, Layout, Matching, & etc.

Lecture 33 - The Short Metal-Oxide-Semiconductor Field-Effect Transistor (cont.) April 30, 2007

A New Model for Thermal Channel Noise of Deep-Submicron MOSFETS and its Application in RF-CMOS Design

Semiconductor Memory: DRAM and SRAM. Department of Electrical and Computer Engineering, National University of Singapore

Hot Topics and Cool Ideas in Scaled CMOS Analog Design

ESE 570: Digital Integrated Circuits and VLSI Fundamentals

Advanced PDK and Technologies accessible through ASCENT

Electronics Development for psec Time-of. of-flight Detectors. Enrico Fermi Institute University of Chicago. Fukun Tang

ECSE-6300 IC Fabrication Laboratory Lecture 7 MOSFETs. Lecture Outline

! Review: MOS IV Curves and Switch Model. ! MOS Device Layout. ! Inverter Layout. ! Gate Layout and Stick Diagrams. ! Design Rules. !

ESE 570: Digital Integrated Circuits and VLSI Fundamentals

Seminar report Bicmos Technology

Jack Keil Wolf Lecture. ESE 570: Digital Integrated Circuits and VLSI Fundamentals. Lecture Outline. MOSFET N-Type, P-Type.

EE 330 Lecture 21. Bipolar Process Flow

A 90 nm High Volume Manufacturing Logic Technology Featuring Novel 45 nm Gate Length Strained Silicon CMOS Transistors

65-GHz Receiver in SiGe BiCMOS Using Monolithic Inductors and Transformers

Towards Sub-10 nm Diameter InGaAs Vertical nanowire MOSFETs and TFETs

1 FUNDAMENTAL CONCEPTS What is Noise Coupling 1

Design of High Performance Lateral Schottky Structures using Technology CAD

FinFET Devices and Technologies

AN1509 APPLICATION NOTE A VERY HIGH EFFICIENCY SILICON BIPOLAR TRANSISTOR

Lecture 190 CMOS Technology, Compatible Devices (10/28/01) Page 190-1

(a) (d) (e) (b) (c) (f) 3D-NAND Flash and Its Manufacturing Process

Wafer-scale 3D integration of silicon-on-insulator RF amplifiers

ST Technologies Snapshot for Analog & Mixed Laurent Dugoujon/Thibault BRUNET STMicroelectronics

EE 330 Lecture 7. Design Rules. IC Fabrication Technology Part 1

! Review: MOS IV Curves and Switch Model. ! MOS Device Layout. ! Inverter Layout. ! Gate Layout and Stick Diagrams. ! Design Rules. !

Design and Scaling of W-Band SiGe BiCMOS VCOs

Design cycle for MEMS

The Art of ANALOG LAYOUT Second Edition

An Inductor-Based 52-GHz 0.18 µm SiGe HBT Cascode LNA with 22 db Gain

BICMOS Technology and Fabrication

+1 (479)

Semiconductor Devices

Chapter 3 CMOS processing technology (II)

EE 410: Integrated Circuit Fabrication Laboratory

2. TECHNICAL CONTENT

FinFET-based Design for Robust Nanoscale SRAM

Copyright 2001 IEEE. Reprinted from IEEE MTT-S International Microwave Symposium 2001

Alternatives to standard MOSFETs. What problems are we really trying to solve?

ADVANCED MATERIALS AND PROCESSES FOR NANOMETER-SCALE FINFETS

CMOS VLSI IC Design. A decent understanding of all tasks required to design and fabricate a chip takes years of experience

EE C245 ME C218 Introduction to MEMS Design

Active Technology for Communication Circuits

III-V CMOS: Quo Vadis?

EECS130 Integrated Circuit Devices

EE 5611 Introduction to Microelectronic Technologies Fall Thursday, September 04, 2014 Lecture 02

Improving CMOS Speed and Switching Energy with Vacuum-Gap Structures

DOTSEVEN Towards 0.7 Terahertz Silicon Germanium Heterojunction Bipolar Technology FP7 Contract Number:

ESD Protection Design with the Low-Leakage-Current Diode String for RF Circuits in BiCMOS SiGe Process

n-channel LDMOS WITH STI FOR BREAKDOWN VOLTAGE ENHANCEMENT AND IMPROVED R ON

TU3B-1. An 81 GHz, 470 mw, 1.1 mm 2 InP HBT Power Amplifier with 4:1 Series Power Combining using Sub-quarter-wavelength Baluns

ANALYSIS AND DESIGN OF ANALOG INTEGRATED CIRCUITS

ITRS MOSFET Scaling Trends, Challenges, and Key Technology Innovations

Design Methodology and Applications of SiGe BiCMOS Cascode Opamps with up to 37-GHz Unity Gain Bandwidth

Strain Engineering for Future CMOS Technologies

Alternative Channel Materials for MOSFET Scaling Below 10nm

Cypress CY7C PVC USB 2.0 Integrated Microcontroller Process Analysis

ECE 5745 Complex Digital ASIC Design Topic 2: CMOS Devices

Prepared by Dr. Ulkuhan Guler GT-Bionics Lab Georgia Institute of Technology

High speed electronics (in optical communications)

Module 2: CMOS FEOL Analysis

Modeling and CAD Challenges for DFY. Patrick G. Drennan Freescale Semiconductor Tempe, AZ, USA

Transcription:

SiGe HBT Technology Development in the DOTSEVEN Project Alexander Fox 1, Bernd Heinemann 1, Josef Böck 2, Klaus Aufinger 2 1 IHP, 2 Infineon Technologies AG Open Bipolar Workshop 3 October 2013, Bordeaux

Outline DOTSEVEN Project DOTSEVEN Workpackage 1: SiGe HBT technology platform WP1 - Task 1: Advanced Device Architectures WP1 - Task 2: F T Enhancement WP1 - Task 3: CMOS Compatibility WP1 - Task 4: Circuit Runs Summary 2

DOTSEVEN in a Nutshell Follows up on successful ideas of DOTFIVE (2/2008 7/2011) Duration: 10/2012 3/2016 14 Partners from 6 EU countries Project coordinator: Infineon Technologies AG Supported by European Commission: FP2 - IP (ICT 316755) Budget: 12.3 M (European Commission: 8.6M ) Development of a SiGe HBT technology with f max = 700 GHz 3

DOTSEVEN Partners 3 Industry Partners 3 Small and Medium Enterprises 8 Academic & Institutional Partners 4

Addressed Application Fields 5

Main Objectives of DOTSEVEN The realization of SiGeC Heterojunction Bipolar Transistors (HBTs) operating at a maximum frequency up to 0.7 THz at room temperature The design and demonstration of working integrated mm- and submm-wave circuits using such HBTs for specific applications The evaluation, understanding, and modeling of the relevant physical effects occurring in such high-speed devices and circuits 6

From DOTFIVE to DOTSEVEN DOTFIVE Results ST [1] IFAG [1] IMEC [1] IHP1 [1] IHP2 [2] w E 100 130 75 120 155 f T 290 240 245 300 310 f max 430 380 460 500 480 BV CEO 1.5 1.5 1.7 1.6 1.75 t D 1.9 2.4-2.0 1.9 RF2THz 55nm BiCMOS DOT7 130nm BiCMOS (B11HFC) DOT7 130nm BiCMOS (SG13G2) DOT7 EEB- Module [1] P. Chevalier et al., Towards THz SiGe HBTs, BCTM Tech. Dig., 2011, pp. 57 65. [2] A. Fox et al., SiGe:C HBT Architecture with Epitaxial External Base, BCTM Tech. Dig., 2011, pp. 70 73. 7

Project Organisation: Workpackages (WPs) WP1 : SiGe HBT technology platform WP2 : TCAD and physics-based modeling WP3 : Compact modeling WP4 : Applications & demonstrators WP5 : Training and dissemination WP6 : Project management Advanced device architectures f T enhancement CMOS compatibility Circuit runs Advanced device simulation Development of simulation tools Reliability modeling Parameter extraction & methodology Accurate compact models Predictive & statistical modeling Benchmark circuits MMIC building blocks Application Demonstrators 8

Project Organization: Workpackages (WPs) WP1 : SiGe HBT technology platform WP2 : TCAD and physics-based modeling WP3 : Compact modeling WP4 : Applications & demonstrators WP5 : Training and dissemination WP6 : Project management Advanced device architectures f T enhancement CMOS compatibility Circuit runs Advanced device simulation Development of simulation tools Reliability modeling Parameter extraction & methodology Accurate compact models Predictive & statistical modeling Benchmark circuits MMIC building blocks Application demonstrators 9

WP1 - Task 1: Advanced Device Architectures 2 Sub Tasks: (1) Demonstrate 700GHz SiGe - HBT Initial HBT architecture: SiGe HBT with epitaxial external base (EEB-module) as developed in DOTFIVE (f max = 480GHz / t D = 1.9ps) Stage 1: f max = 600GHz / t D = 1.7ps Stage 2: f max = 700GHz / t D = 1.4ps (2) Joint flow IHP/Infineon Pre & post SiGe-HBT processing at Infineon (e.g. shallow- & deep trench / collector epi & implants / resistors for RO / metallization) SiGe-HBT module at IHP (architecture with epitaxial external base, EEB) Demonstrate performance of IHP HBT (f max ~ 500GHz / t D = 1.9ps) Investigate different collector constructions and metallization schemes 10

Review of HBT with Epitaxial External Base (EEB) Standard DP-SEG HBT DP-SEG HBT with epitaxial external base (EEB) SIC SIC In-situ doped lateral base link growth after SiGe Epi & emitter formation SIC SIC no separate link anneal lateral link: no compromise C CB vs. R B 11

Review of EEB-HBT Process Flow (1/3) STR n+ doped collector IHP collector module o STR formation o Collector implant & anneal Nitride Oxide Nitride Oxide ONON - Layer stack deposition Window dry - etching Collector opening by wet - etching 12

Review of EEB-HBT Process Flow (2/3) n+ Emitter SIC Cover oxide SiGe Base Nitride wet etch 2-Step selective epitaxial growth of HBT layer stack (Si-buffer, SiGe-base, Si-cap) SIC implant via inside spacers after 1st Si- buffer E / B Spacers Emitter deposition & CMP Cover oxide deposition Base patterning Nitride removal (wet etching) 13

Review of EEB-HBT Process Flow (3/3) selective & differential external base epi Selective epitaxial growth of base link differential epitaxial growth of outer external base areas Si dry-etch via oxide hard-mask Oxide removal Final RTA Silicide formation BEOL formation 14

Review of DOTFIVE Results for EEB-HBT [1] 200nm W E f T f max t D 155nm 310GHz 480GHz 1.9ps BV CEo 1.75V b 320 [1] A. Fox et al., SiGe:C HBT Architecture with Epitaxial External Base, BCTM Tech. Dig., 2011, pp. 70 73. 15

Subtask 1: Planned EEB-HBT Process Development Lateral scaling of different dimensions (see next slide) Transfer layout from 0.25µm to 0.13µm design rules Process optimization of external base epitaxy Optimize process flow with respect to yield This is expected to lead to the first stage of performance enhancement The planning for the second stage will depend on results from this first scaling stage and from results of WP1 - task 2 (vertical profile scaling) and input from WP2 (device simulation) and WP3 (predictive modeling) 16

HBT Scaling and Process Adjustment First Stage SiGe:C HBT with epitaxial external base 4 2 1 3 (1) Smaller emitter window (DOTFIVE: 155nm) (2) Optimize emitter/base spacers: minimum dimension to be explored (3) Smaller collector window (4) Process optimization of external base epitaxy 17

Task 1 / Sub-Task 2: Joint Flow Infineon & IHP IHP HBT Shallow trench Deep trench Infineon 0.13µm Process Joint mask set developed Additional IHP HBT layers in Infineon 0.13µm mask set Layers for IHPs HBT adjusted to Infineons HBT layout Process interfaces defined Critical processing steps identified: Emitter CMP CVD depositions, incl. SiGeepitaxy 18

WP1 Task 2: f T Enhancement Advanced simulations predict considerable room for improving f T Physical limit beyond 1THz Very aggressively scaled vertical profile Demands on stability at high current densities and emitter resistance very challenging Advanced Profile Results of Device Simulation [1] Impact of Emitter Resistance CBEBC bulk device Sef-heating included Emitter length =10x Emitter width j C @peak f T > 60mA/µm 2 for s=100% Normalized Emitter Width = 50nm [1] M. Schröter et al., Physical and Electrical Performance Limits of High-Speed SiGeC HBTs Part I and II, IEEE Trans. Electron Devices, vol. 58; No. 11, pp. 3687-3706. 19

Motivation for WP1 Task f T Enhancement Increase of high-speed circuit performance needs balanced improvement of f max and f T Appropriate ratio of f max /f T needs to be clarified How realistic are the predictions? How far can f T be increased under manufacturability constraints? Development of f T records for SiGe HBTs F T F max BV CEO Potential exhausted? 20

Activities for WP1 Task f T Enhancement Develop flow with low-thermal budget for scaling of vertical profile Thermal treatments >650 C shall be avoided before final RTP step Optimize base profile on technology with non-selective base-epitaxy More flexibility for generating extreme profile variations Platform for device model parameter calibration Fabrication of HBTs with special base profiles for validating device simulations Impact of back-end processes have to be investigated First studies for f T maximization don t need further lateral scaling Only in 2 nd project phase test of optimized vertical profiles in flows with low external parasitics 21

WP1 Task 3: CMOS Compatibility DOTFIVE: pure bipolar technology developed Suitable for applications like 60GHz WLAN or 77GHz radar Future product generations require more digital functionality E.g. memory, interfaces, A/D conversion and base band processing BiCMOS integration will be investigated in DOTSEVEN Integration of the conventional (DPSA-) SiGe HBT developed in DOTFIVE into a 130nm CMOS platform at Infineon Investigation of possibility to adapt IHP s HBT with epitaxially grown base link to Infineon s 130nm BiCMOS platform IHP SG13G2 22

Technology Concept B11HFC (Infineon) 130nm MOSFETs (C11) + Scaled SiGe HBTs (DOTFIVE SiGe HBT) + mmwave BEOL Gate Poly Emitter Poly Base Contact Poly 3 LM B7HF200 4 LM C11N 0.13 µm SiGe BiCMOS with 7 layer BEOL 23

Constrains of HBT Integration into CMOS General constraint for BiCMOS development in practice: HBT is integrated into an established CMOS technology CMOS devices should not be changed (reuse CMOS IP, ROM, SRAM, ) MOS thermal steps (LDD-, SD-anneals, poly oxidation) deteriorate HBT performance Three problems were identified for integration of DOTFIVE HBT into Infineon s 130nm CMOS technology (1) Wafer orientation for best HBT performance and yield (notch in [010] orientation) is different from CMOS standard (2) Incompatible thermal budgets for HBT and CMOS fabrication (3) Structural problems during process integration 24

CMOS Integration Problems: Corrective Measures (1) Substrate orientation: adjust CMOS Re-center MOS parameters by modification of implant and anneal steps (2) Thermal budget: find compromise Reduce LDD anneal so that the MOS-parameters can still be re-centered and the base can be deposited before CMOS spacers Reduce S/D anneal so that MOS parameters can still be re-centered Adjust base- and emitter-modules of the HBT to the reduced S/D anneal (which is still higher than in the DOTFIVE HBT process) (3) Structural problems: manifold! Example: removal of layers of bipolar fabrication from MOS-gates introduction of a nitride protection layer that acts as etch-stop-layer during layer removal 25

I sat [µa/µm] I sat [µa/µm] Re-Centering of CMOS: LDD and SD Implants IDSnr_10x012;mean [ua/um] LSL T USL 500 400 CorrelationPlot VTSnr_10x012;mean - IDSnr_10x012;mean grouped by group CorrelationPlot VTSpr_10x012;mean - IDSpr_10x012;mean grouped by group X: lo 320 hi 450 qty 171/171 mean 411.5 sigma 27.29 cp 0.794 cpk 0.471 X: lo -370 hi -250 qty 171/171 mean -330.2 sigma 22.75 cp 0.879 cpk 0.583 NMOS PMOS Y: lo 380 hi 550 qty 171/171 mean 453.8 sigma 26.83 cp 1.06 cpk 0.916 Y: lo -255 hi -165 qty 171/171 mean -208.9 sigma 11.35 cp 1.32 cpk 1.29 300 350 400 450 500 LSL T USL VTSnr_10x012;mean [mv] V T [mv] -200 LEGEND Modified substrate orientation Modified thermal budget NMOS re-centered PMOS re-centered with respect to current group -150 BiCMOS BiCMOS emulation -250 CMOS Improved leakage due to rotated substrate IDSpr_10x012;mean [ua/um] LSL T USL -400-350 -300-250 LSL T USL VTSpr_10x012;mean [mv] V T [mv] CMOS only BiCMOS without adaptions BiCMOS LEGEND re-centered group CMOS BiCMOS BiCMOS emulation CMOS Notch 0 45 BiCMOS LDD anneal 1006 C, 5 sec. 1010 C spike S/D anneal 1006 C, 5 sec. 1050 C spike 26

DC Characteristics of SiGe HBT in BiCMOS Flow Successful integration of DOTFIVE SiGe HBT with 0.13 µm CMOS Adjusted emitter doping to enable emitter drive-in with CMOS S/D anneal Ideal transfer characteristics with very low base leakage current 27

RF Performance SiGe HBT in BiCMOS Flow DOTFIVE BiCMOS emitter doping 2 x 10 21 cm -3 3 x 10 20 cm -3 emitter drive-in 930 C, 3 sec. 1050 C spike Adjusted emitter doping to enable emitter drive-in with CMOS S/D anneal 250 GHz f T, 360 GHz f max Similar performance in BiCMOS flow as in pure bipolar (DOTFIVE) 28

SG13G2: IHPs 130nm BiCMOS + DOTFIVE HBT [1] G1 G2 w E (nm) 170 120 f T (GHz) 250 300 f max (GHz) 330 500 t D (ps) 2.9 2.0 BV CEo (V) 1.6 1.6 b 900 700 [1] H. Rücker et al., SIRF 2012, Santa Barbara, USA, pp. 133 136 29

PDK-1 Tapeout Si out Package PDK-2 Tapeout Si out Package PDK-1 Tapeout Si out PDK-2 Tapeout Si out WP1 Task 4: Circuit Fabrication IHP 2013 2014 2015 2016 J F M A M J J A S O N D J F M A M J J A S O N D J F M A M J J A S O N D J F M IFAG Two complete circuit fabrication cycles at Infineon and IHP Infineon additionally provides package runs The first iteration of PDKs is based on the DOTFIVE technologies The second iteration of PDK s will include technology advancements, as far as yield and stability can be ensured 30

Infineon Process Design Kit for First Design Cycle Process B11HFC: 130nm BiCMOS process with latest DOTFIVE HBT performance level PDK including the required simulation models, layout cells, and verification tools (DRC, LVS, ) delivered to the circuit partners Comprehensive library of scalable npn transistors for optimizing applications (emitter length range of 0.7µm to 10.0µm, different contact configurations like BEC, BEBC, CBEBC, ) TaN resistor, MIM capacitor, high-performance varactor (based on the high voltage npn transistor), transmission lines, Physics-based compact models, including advanced HiCUM models for the high speed npn transistors by TU Dresden 31

gate delay [ps] Infineon Process Design Kit for First Design Cycle Examples for model / hardware correlations on device and circuit level 10 2 meas model 10 1 10 0 10 0 current per gate [ma] HiCUM model vs. measurements for (a) f T vs collector current (@ V CB from -0.5 to +0.5V) and (b) CML ring oscillator gate delay. 32

IHP Process Design Kit for First Design Cycle Process SG13G2: 130nm BiCMOS process with latest DOTFIVE HBT performance level HICUM Model introduced to IHP design-kit VBIC Model with improved substrate network Symmetric MOS varactors introduced to IHP PDK VBIC HICUM Measurement VBIC HICUM Measurement HICUM fits Y-parameters in the high current regime better than VBIC 33

Summary HBTs with f max = 700GHz / t D = 1.4ps and circuit demonstrators operating up to 240GHz are targeted for Q1 2016 In the first step improvements up to f max = 600GHz/t D = 1.7ps are expected by scaling the HBT architecture with epitaxial external base (EEB) developed in DOTFIVE Industry compatibility of the EEB architecture will be tested in a joint flow between Infineon and IHP f T limits will be explored by testing aggressive vertical profiles Investigate BiCMOS integration issues of advanced SiGe HBTs Two complete design cycles by both technology partners are scheduled for demonstration of integrated mm- and sub-mm-wave circuits 34

Acknowledgement Andreas Pawlack, Julia Krause (TU Dresden, HiCUM modelling) Holger Rücker (IHP) 35