EMI Modeling of a 32-bit Microcontroller in Wait Mode

Similar documents
EMI Modeling of a 32-bit Microcontroller in Wait Mode

EMI Reduction on an Automotive Microcontroller

Todd Hubing. Clemson University. Cabin Environment Communication System. Controls Airbag Entertainment Systems Deployment

MPC 5534 Case study. E. Sicard (1), B. Vrignon (2) Toulouse France. Contact : web site :

EMI. Chris Herrick. Applications Engineer

Chip Package - PC Board Co-Design: Applying a Chip Power Model in System Power Integrity Analysis

Using ICEM Model Expert to Predict TC1796 Conducted Emission

Thank you for downloading one of our ANSYS whitepapers we hope you enjoy it.

Development and Validation of a Microcontroller Model for EMC

Electrical Characterization of a 64 Ball Grid Array Package

Modeling the Radiated Emission of Micro-controllers

Effect of Aging on Power Integrity of Digital Integrated Circuits

Wideband On-die Power Supply Decoupling in High Performance DRAM

ANSYS CPS SOLUTION FOR SIGNAL AND POWER INTEGRITY

Predicting Module Level RF Emissions from IC Emissions Measurements using a 1 GHz TEM or GTEM Cell A Review of Related Published Technical Papers 1

Battery lifetime modeling for a 2.45GHz cochlear implant application

AN4819 Application note

Relationship Between Signal Integrity and EMC

Physical RF Circuit Techniques and Their Implications on Future Power Module and Power Electronic Design

Todd H. Hubing Michelin Professor of Vehicular Electronics Clemson University

Signal Integrity Modeling and Simulation for IC/Package Co-Design

Power- Supply Network Modeling

System Power Distribution Network Theory and Performance with Various Noise Current Stimuli Including Impacts on Chip Level Timing

Comparison of IC Conducted Emission Measurement Methods

Taking the Mystery out of Signal Integrity

Advances on the ICEM model for Emission of Integrated Circuits

High-Performance Electronic Design: Predicting Electromagnetic Interference

Broadband Methodology for Power Distribution System Analysis of Chip, Package and Board for High Speed IO Design

DesignCon On-Chip Power Supply Noise and Reliability Analysis for Multi-Gigabit I/O Interfaces

Improve Performance and Reliability with Flexible, Ultra Robust MEMS Oscillators

Course Introduction. Content: 19 pages 3 questions. Learning Time: 30 minutes

Signal Integrity Design of TSV-Based 3D IC

Electromagnetic Simulation of Antennas Installed Inside Vehicles An Automotive EMC Approach Markus Kopp Product Manager, Electronics

Enhancing FPGA-based Systems with Programmable Oscillators

Microcircuit Electrical Issues

EVALUATION OF THE NEAR-FIELD INJECTION METHOD AT INTEGRATED CIRCUIT LEVEL

Decoupling capacitor uses and selection

Reliable World Class Insights Your Silicon Valley Partner in Simulation ANSYS Sales, Consulting, Training & Support

PDS Impact for DDR Low Cost Design

BIRD 74 - recap. April 7, Minor revisions Jan. 22, 2009

EMI/EMC of Entire Automotive Vehicles and Critical PCB s. Makoto Suzuki Ansoft Corporation

Battery lifetime modelling for a 2.45GHz cochlear implant application

Debugging EMI Using a Digital Oscilloscope. Dave Rishavy Product Manager - Oscilloscopes

SG500. Low Jitter Spectrum Clock Generator for PowerPC Designs. Approved Product. FREQUENCY TABLE (MHz) PRODUCT FEATURES CONNECTION DIAGRAM

Figure 1. Inductance

Optimizing Design of a Probe Card using a Field Solver

Design Considerations for Highly Integrated 3D SiP for Mobile Applications

Peak Reducing EMI Solution

Course Introduction Purpose Objectives Content Learning Time

SiTime University Turbo Seminar Series. December 2012 Reliability & Resilience

An alternative approach to model the Internal Activity of integrated circuits.

Spread Spectrum Frequency Timing Generator

Electrical and Thermal Analysis of an OLED Module

Development and Validation of IC Models for EMC

EDA Toolsets for RF Design & Modeling

High Speed Design Issues and Jitter Estimation Techniques. Jai Narayan Tripathi

Use of on-chip sampling sensor to evaluate conducted RF disturbances propagated inside an integrated circuit

CIRCUITS. Raj Nair Donald Bennett PRENTICE HALL

ISSCC 2004 / SESSION 21/ 21.1

EM Noise Mitigation in Electronic Circuit Boards and Enclosures

The water-bed and the leaky bucket

AltiumLive 2017: Component selection for EMC

A 3-10GHz Ultra-Wideband Pulser

Evaluation of Package Properties for RF BJTs

Techniques to reduce electromagnetic noise produced by wired electronic devices

Engineering the Power Delivery Network

Satellite Tuner Single Chip Simulation with Advanced Design System

Automated Near-Field Scanning to Identify Resonances

Chip and Package-Level Wideband EMI Analysis for Mobile DRAM Devices

Filter Considerations for the IBC

Signal Integrity, Part 1 of 3

Localization and Identifying EMC interference Sources of a Microwave Transmission Module

Susceptibility of the Crystal Oscillator to Sinusoidal Signals over Wide Radio Frequency Range

2.5D & 3D Package Signal Integrity A Paradigm Shift

3 GHz Wide Frequency Model of Surface Mount Technology (SMT) Ferrite Bead for Power/Ground and I/O Line Noise Simulation of High-speed PCB

Ensuring Signal and Power Integrity for High-Speed Digital Systems

Modelling electromagnetic field coupling from an ESD gun to an IC

Modeling and Simulation of Powertrains for Electric and Hybrid Vehicles

Ileana-Diana Nicolae ICMET CRAIOVA UNIVERSITY OF CRAIOVA MAIN BUILDING FACULTY OF ELECTROTECHNICS

LSI and Circuit Technologies for the SX-8 Supercomputer

OSC2 Selector Guide appears at end of data sheet. Maxim Integrated Products 1

Design of an Integrated OLED Driver for a Modular Large-Area Lighting System

A Switched Decoupling Capacitor Circuit for On-Chip Supply Resonance Damping

Features. Applications

Constructing conducted emission models for integrated circuits

Design Fundamentals by A. Ciccomancini Scogna, PhD Suppression of Simultaneous Switching Noise in Power and Ground Plane Pairs

Low Jitter, Low Emission Timing Solutions For High Speed Digital Systems. A Design Methodology

EMC review for Belle II (Grounding & shielding plans) PXD DEPFET system

Microelectronic sensors for impedance measurements and analysis

DS1080L. Spread-Spectrum Crystal Multiplier. General Description. Features. Applications. Ordering Information. Pin Configuration

Full Wave Solution for Intel CPU With a Heat Sink for EMC Investigations

Substrate noise full-chip level analysis flow from early design stages till tapeout

A Simulation Study of Simultaneous Switching Noise

Freescale Semiconductor, I

An analog to digital converter ICIM-CI model based on design

Rohde & Schwarz EMI/EMC debugging with modern oscilloscope. Ing. Leonardo Nanetti Rohde&Schwarz

HT32 Series Crystal Oscillator, ADC Design Note and PCB Layout Guide

Characterization of Integrated Circuits Electromagnetic Emission with IEC

Investig&ion of the Theoretical Basis for Using a 1 G& TEM Cell to Evaluate the Radiated Emissions from Integrated Circuits

RUNNING TDA18219HN FROM EXTERNAL CLOCK

Transcription:

EMI Modeling of a 32-bit Microcontroller in Wait Mode Jean-Pierre Leca 1,2, Nicolas Froidevaux 1, Henri Braquet 2, Gilles Jacquemod 2 1 STMicroelectronics, 2 LEAT, UMR CNRS-UNS 6071 BMAS 2010 San Jose, California, USA Thursday, September 23

Outline 1. Motivations 2. EMI Background 3. EMI Modeling 4. Results and correlation 5. Conclusion 2

1. Motivations EMI: Disturbances that affect an electrical circuit due to either EM conduction or EM radiation emitted from an external source. Why? EMI are generally increasing with the shrink of CMOS technology & the rise of products performances. Products must be IEC 61967-2 or SAE J1752/3 compliant. Marketing argument (automotive, medical, GPS ). To save time, money, and gain market share. 3

1. Motivations How? Before we were expected, now we want to act. Define EMI strategy during the design stages by: Use of predictive EMI models. Layout/Design rules implementations during the product design flow. 4

2. Background Basic EMI mechanisms: Noise generation due to switching currents created by: Clock-driven blocks, synchronized digital core Accesses to the memories (Flash, RAMs, ), I/O switching activity AC currents converted into P/G voltage drops (common mode radiation): SSN for «Simultaneous Switching Noise» due mainly to the wires parasitic inductances P/G current loops (differential mode radiation) Unintentional EMI radiation by miniature antennas (lead frames, interconnections ) Intentional or unintentional receivers can then pick up these EM waves EM Field Creation EM Field Creation

2. Background EMI measurements: Based on IEC 61967-2 standard: Dedicated EMI printed circuit board Help of a TEM-Cell as an EMI receiver Results visible on a spectrum analyzer

2. Background Measurement results: 32-bit STXX running in Normal mode (fosc=8mhz & fpll=24mhz): SAE level of 5 (Not recommended in applications). Main CPU clock enabled and accesses to the FLASH memory. Resonance @ 660MHz.

2. Background Measurement results: 32-bit STXX running in WFI mode (fosc=8mhz & fpll=24mhz): SAE level of 4 (High EMI risk in applications). Main CPU clock and FLASH memory disabled. Resonance @ 660MHz.

3. EMI Modeling Modeling methodology: Based on the ICEM, for «Integrated Circuits Emission Model». standard (IEC 62014-3). Goal: To predict EMI level during the design stages. To test different design/layout solutions.

3. EMI Modeling Microcontroller modeling: Main noise generators: FLASH memory. 1.8V Digital core. Crystal Oscillator, PLL, extracted clock tree. Normal Mode Wait Mode Digital Core model: PWL current source On-die admittance

3. EMI Modeling RedHawk-CPM Principle: On-chip P/G network => RLC mesh Switching instances => PWL sources i(t,v) after standard cells characterization Non-switching instance => decaps, ESR

3. EMI Modeling Apache-CPM flow: Needs:.tech,.lib,.cdl,.gds2,.def,.lef

3. EMI Modeling Microcontroller WFI model: WFI mode: Memories and digital core disabled Simulated Model. Best case for the EMI SAE level = 4 Modeling possible in SPICE. Three main parts: Die model (noise generator + on-die PDN). Package model (off-die PDN). TEM-Cell model (receiver PDN).

3. EMI Modeling Noise Generator On-die Power Distribution Network Die model: Noise generator constituted by: 8MHz crystal oscillator and 8 to 24MHz PLL. 3.3V to 1.8V regulator. Internal capacitances between the supply rails (by estimation, postlayout extraction or simulation). Wires inherent inductances and resistances. Tracking of the supply rails from the product gds2. Inductances and resistances computation (no skin-effect and mutual inductance in this model).

3. EMI Modeling Package Model: STXX 100-pin LQFP bonding diagram: Long bonding wires => important inductances. Need also to add the inductance due to the lead frames.

3. EMI Modeling Model obtained with Ansoft Q3D and HFSS: Each supply couple is modeled. Valid up to 1GHz. RLCK network.

3. EMI Modeling TEM-Cell Model: Obtained with an EM solver: Representation of the electric and magnetic coupling between the chip and the TEM-Cell septum Valid up to 1GHz RLCK network

3. EMI Modeling

4. Results and correlation Comments on the model: In this model: Only the voltage drops are modeled. The current loops are not modeled. SPICE simulation with ELDO: External 8MHz quartz (with its capacitances) PLL with a factor x3 PVT conditions: Typical process, VDDIO=3.3V & VCORE=1.8V, T =27 C Good correlation with WFI measurement (see next figure) Similar amplitude peaks. EMI at the same frequencies (harmonics + resonances) Effect of the position of the chip visible.

4. Results and correlation

4. Results and correlation

4. Results and correlation Comments: Resonance frequency near 660MHz: Observable behavior in measurement and simulation. The SSN on supplies is a damp sine wave in the time domain. In the frequency domain, RLC filter effect due mainly to: The package inductance The capacitance between the supplies Effect of the on-die resistance (Q and BW) f c = 1 2π LC Q = L2πf c R f Q 0 f = Conclusion: an EMI level of 4 when the chip is running in a WFI mode could be predicted during the design stage.

5. Conclusion Performances: Good EMI prediction. Good EMI mechanisms representation. Quite simple to put in place. Limitations: Only apply to the WFI mode. Long simulation time (~15 hours). Future improvements: Add others running modes by modeling the digital core and the Flash memory.

Thank you for attention!