PROCEEDINGS OF SPIE. LPP-EUV light source for HVM lithography. T. Saito, Y. Ueno, T. Yabu, A. Kurosawa, S. Nagai, et al.

Similar documents
1 st generation Laser-Produced Plasma source system for HVM EUV lithography

PROCEEDINGS OF SPIE. Performance of one hundred watt HVM LPP-EUV source

PROCEEDINGS OF SPIE. Key components development progress updates of the 250W high power LPP-EUV light source

1 st /2nd generation Laser-Produced Plasma source system for HVM EUV lithography

Laser-Produced Sn-plasma for Highvolume Manufacturing EUV Lithography

Laser Produced Plasma Light Source for HVM-EUVL

1 Introduction. Review Article

S26 Basic research on 6.x nm EUV generation by laser produced plasma

Japan Update. EUVA (Extreme Ultraviolet Lithography System Development Association) Koichi Toyoda. SOURCE TWG 2 March, 2005 San Jose

Multi-pass Slab CO 2 Amplifiers for Application in EUV Lithography

Discovering Electrical & Computer Engineering. Carmen S. Menoni Professor Week 3 armain.

Short wavelength light source for semiconductor manufacturing: Challenge from excimer laser to LPP-EUV light source

Reliable High Power EUV Source Technology for HVM: LPP or DPP? Vivek Bakshi, Ph.D. EUV Litho, Inc.

High Power CO 2 Laser, EUVA

Power scaling of picosecond thin disc laser for LPP and FEL EUV sources

Improving efficiency of CO 2

Development of scalable laser technology for EUVL applications

Light Sources for High Volume Metrology and Inspection Applications

Development Status of EUV Sources for Use in Alpha-, Beta- and High Volume Chip Manufacturing Tools

EUV Light Source The Path to HVM Scalability in Practice

LPP EUV Source Development and HVM I Productization

EUVL Activities in China

Beam quality of a new-type MOPO laser system for VUV laser lithography

EUV lithography: status, future requirements and challenges

High Rep-Rate KrF Laser Development and Intense Pulse Interaction Experiments for IFE*

Development of a 5 khz Ultra-Line-Narrowed F2 Laser for Dioptric Projection S ys tems

EUVL Activities in China

A novel High Average Power High Brightness Soft X-ray Source using a Thin Disk Laser System for optimized Laser Produced Plasma Generation

EUV lithography: today and tomorrow

GIGAPHOTON INTRODUCTION

High repetition-rate LPP-source facility for EUVL

Tin LDP Source Collector Module (SoCoMo) ready for integration into Beta scanner ABSTRACT Keywords : 1. INTRODUCTION

Fiber Lasers for EUV Lithography

Progress towards Actinic Patterned Mask Inspection. Oleg Khodykin

Bridging the Gap Between Tools & Applications

Gigashot TM FT High Energy DPSS Laser

Thin-Disc-Based Driver

EUVL Activities in China. Xiangzhao Wang Shanghai Inst. Of Opt. and Fine Mech. Of CAS. (SIOM) Shanghai, China.

High-peak power laser system used in Yb doped LMA fiber

Compact EUV Source for Metrology and Inspection

Extreme Light Infrastucture (ELI) Science and Technology at the ultra-intense Frontier. Bruno Le Garrec

Advanced seeders for fiber lasers - IFLA. 23 June. 2014

Nonlinear Optics (WiSe 2015/16) Lecture 9: December 11, 2015

NIST EUVL Metrology Programs

J-KAREN-P Session 1, 10:00 10:

Beam Shaping in High-Power Laser Systems with Using Refractive Beam Shapers

Nd: YAG Laser Energy Levels 4 level laser Optical transitions from Ground to many upper levels Strong absorber in the yellow range None radiative to

Development of Ultrashort Pulsed VUV Laser and its Applications

The UCD community has made this article openly available. Please share how this access benefits you. Your story matters!

Light Sources for EUV Mask Metrology. Heiko Feldmann, Ulrich Müller

Single frequency MOPA system with near diffraction limited beam

PROCEEDINGS OF SPIE. The next-generation ArF excimer laser for multiple-patterning immersion lithography with helium free operation

Nikon EUVL Development Progress Update

Ultra line narrowed injection lock laser light source for hyper NA ArF immersion lithography tool

Chapter 14. Tunable Dye Lasers. Presented by. Mokter Mahmud Chowdhury ID no.:

Practical Applications of Laser Technology for Semiconductor Electronics

Lasers à fibres ns et ps de forte puissance. Francois SALIN EOLITE systems

Institute of Solid State Physics. Technische Universität Graz. Lithography. Peter Hadley

k λ NA Resolution of optical systems depends on the wavelength visible light λ = 500 nm Extreme ultra-violet and soft x-ray light λ = 1-50 nm

Wavelength LDH - P / D - _ / C / F / FA / TA - N - XXX - _ / B / M / L / XL. Narrow linewidth (on request) Tappered amplified

Defense Technical Information Center Compilation Part Notice

A Comparison of ArF and KrF Laser Performance At 2kHz For Microlithography

1 kw, 15!J linearly polarized fiber laser operating at 977 nm

Water-Window Microscope Based on Nitrogen Plasma Capillary Discharge Source

High Average Power, High Repetition Rate Side-Pumped Nd:YVO 4 Slab Laser

EUV Plasma Source with IR Power Recycling

Vertical External Cavity Surface Emitting Laser

SPECIAL EXCIMER LASERS

High Power Thin Disk Lasers. Dr. Adolf Giesen. German Aerospace Center. Institute of Technical Physics. Folie 1. Institute of Technical Physics

Bioimaging of cells and tissues using accelerator-based sources

ModBox-FE-125ps-10mJ. Performance Highlights FEATURES APPLICATIONS. Electrical & Optical Pulse Diagrams

PROCEEDINGS OF SPIE. 193nm high power lasers for the wide bandgap material processing

R. Lebert 1, K. Bergmann 2, O. Rosier 3, W. Neff 2, R. Poprawe 2

Micromachining with tailored Nanosecond Pulses

High power VCSEL array pumped Q-switched Nd:YAG lasers

2008 European EUVL. EUV activities the EUVL shop future plans. Rob Hartman

The Development of a High Quality and a High Peak Power Pulsed Fiber Laser With a Flexible Tunability of the Pulse Width

EUV Lithography Transition from Research to Commercialization

Femtosecond laser microfabrication in. Prof. Dr. Cleber R. Mendonca

Newer process technology (since 1999) includes :

Attosecond technology - quantum control of high harmonic generation for phase matching

Development of Nano Second Pulsed Lasers Using Polarization Maintaining Fibers

IN-LAB PELLICLE METROLOGY CHALLENGES

Performance and Scaling of a Dense Plasma Focus Light Source for EUV Lithography.

Development of a fast EUV movie camera for Caltech spheromak jet experiments

Fiber lasers and their advanced optical technologies of Fujikura

Lecture 5: Introduction to Lasers

QPR No SPONTANEOUS RADIOFREQUENCY EMISSION FROM HOT-ELECTRON PLASMAS XIII. Academic and Research Staff. Prof. A. Bers.

TIME-PRESERVING MONOCHROMATORS FOR ULTRASHORT EXTREME-ULTRAVIOLET PULSES

All diode-pumped 4 Joule 527 nm Nd:YLF laser for pumping Ti:Sapphire lasers

Q-switched resonantly diode-pumped Er:YAG laser

熊本大学学術リポジトリ. Kumamoto University Repositor

Power. Warranty. 30 <1.5 <3% Near TEM ~4.0 one year. 50 <1.5 <5% Near TEM ~4.0 one year

5kW DIODE-PUMPED TEST AMPLIFIER

Review of MPS Solid State Laser Systems

PITZ Laser Systems. Light Amplification by Stimulated Emission of Radiation. Cavity. What is a Laser? General introduction: systems, layouts

EUVL Scanners Operational at Chipmakers. Skip Miller Semicon West 2011

Operating longitudinal mode Several Polarization ratio > 100:1. Power. Warranty. 30 <1.5 <5% Near TEM ~4.0 one year

On-line spectrometer for FEL radiation at

High-power operation of Tm:YLF, Ho:YLF and Er:YLF lasers

Transcription:

PROCEEDINGS OF SPIE SPIEDigitalLibrary.org/conference-proceedings-of-spie LPP-EUV light source for HVM lithography T. Saito, Y. Ueno, T. Yabu, A. Kurosawa, S. Nagai, et al.

Invited Paper LPP-EUV light source for HVM lithography T. Saito, Y. Ueno, T. Yabu, A. Kurosawa, S. Nagai, T. Yanagida, T. Hori, Y. Kawasuji, T. Abe, T. Kodama, H. Nakarai, T. Yamazaki, and H. Mizoguchi Gigaphoton Inc. Hiratsuka facility: 3-25-1 Shinomiya Hiratsuka Kanagawa, 254-8567, JAPAN ABSTRACT We have been developing a laser produced plasma extremely ultra violet (LPP-EUV) light source for a high volume manufacturing (HVM) semiconductor lithography. It has several unique technologies such as the high power short pulse carbon dioxide (CO2) laser, the short wavelength solid-state pre-pulse laser and the debris mitigation technology with the magnetic field. This paper presents the key technologies for a high power LPP-EUV light source. We also show the latest performance data which is 188W EUV power at intermediate focus (IF) point with 3.7% conversion efficiency (CE) at 1 khz. Keywords: EUV light source, EUV lithography, Laser Produced Plasma, CO2 laser, Debris mitigation, 1. INTRODUCTION LPP-EUV light source is the most promising solution as the high power light source for 13.5nm lithography because of its power scalability [1]. It produces the light of 13.5nm wavelength from tin plasma which is produced by high power CO2 laser shooting to tin droplet. Engineering difficulties of LPP-EUV light source are the shooting to tin droplet by high power CO2 laser and the tin debris mitigation on collector mirror. Tin debris generated after EUV emission deposits on the collector mirror surface resulting in power degradation due to mirror reflectivity loss. Tin debris deposition can be mitigated by optimum hydrogen (H2) flow in vessel. However, an increase of H2 flow for higher EUV power induces the shooting difficulty due to H2 gas heating effects. To cope with this situation, we developed the dual wavelength shooting by combining the high power short pulse CO2 laser and the short wavelength solid-state pre-pulse laser, and the debris mitigation technology with magnetic field [2,3]. This paper presents these key technologies and the performance in our EUV light source system. 2.1 Configuration 2. LPP-EUV LIGHT SOURCE SYSTEM Figure 1 shows the configuration of our LPP-EUV light source system which consists of driver laser, beam transfer and EUV chamber system. Driver laser system consists of CO2 laser and pre-pulse laser. CO2 laser is a master oscillator and power amplifiers (MOPA) system. The master oscillator consists of multiple quantum-cascade laser (QCL) seeders, a regenerative amplifier and post-amplifiers based on RF-discharge excited, slab-waveguide, and multi-pass amplifiers. The wavelengths of QCL seeders address four lines of a regular band of CO2 molecule (P-branch, 1.6 m), namely P18, P2, P22 and P24 [4]. Pre-and main amplifier are multi-stage system of amplifiers employing RF-discharge-excited, fasttransverse-flow and fast-axial-flow CO2 amplifiers [5]. This CO2 laser produces a power of over 2kW with a pulse width of below 2ns (FWHM) shown in Fig 2 (a) and (b). Pre-pulse laser is the solid-state laser with a pulse width of 1ps (FWHM) and a wavelength of 1.6 m and its power level is a few 1W. Pre-pulse laser and CO2 laser beam are combined at combiner unit through beam transfer system and they are introduced to tin droplets at plasma point through focus unit inside EUV chamber system. EUV light produced from tin plasma is collected and it is introduced to exposure tool by collector mirror. Super conductive magnets are set outside EUV chamber and it produces high power magnetic field inside EUV chamber for protecting the collector mirror from high speed tin ions produced from plasma. And also, this system has several shooting control loops for ensuring shooting accuracy of m and ns level between droplets and lasers, which are droplets position control, laser beam axis control and timing control. XXI International Symposium on High Power Laser Systems and Applications 216, edited by Dieter Schuöcker, Richard Majer, Julia Brunnbauer, Proc. of SPIE Vol. 1254, 12541A 217 SPIE CCC code: 277-786X/17/$18 doi: 1.1117/12.2257464 Proc. of SPIE Vol. 1254 12541A-1

EUV Chamber system - Vessel, Collector mirror, Droplet generator, Magnet Focus unit - Osc Driver laser system: CO2 laser, Pre pulse laser, Optics Figure 1. Configuration of LPP-EUV light source system 25 2 (b) 1 o 2 : 6! l 12 pw.uuan nw.lual 2.2 Pre-pulse laser technology Figure 2. (a) CO2 laser pulse shape (b) CO2 laser power dependency on repetition rate Pre-pulse laser technology is one of key technologies for producing the high CE. High CE performance is the most reasonable way for increasing EUV power to 25W, which is the current HVM target. Figure 3 (a) and (b) show tin mist shapes after 1ns (a) and 1ps (b) pre-pulse laser irradiation before CO2 laser irradiation. Figure 3 (c) shows the light emission just after CO 2 laser irradiation (upper: visible CCD image, lower: X-ray CCD, EUV light image) using 1ps pre-pulse laser. The other hand, it with 1ps pre-pulse laser is a dome like target. This dome like target produces the high CE by wide EUV emission area shown in Fig 3 (c). Figure 4 (a) and (b) shows the CE and ionization rate performance using ns and ps pre-pulse laser in small EUV light source experimental device. Pre-pulse laser technology using ps laser produces the high CE of over 4.5%. And also, it achieves the high ionization rate of over 98%. 1 ns (a) 1 Flat disk s Dome ml Wide EUV like target like target emission (c) Pre -pulse Figure 3. Tin mist (a) with 1ns pre-pulse laser (b) with 1ps pre-pulse laser (c) Images after CO2 laser irradiation with 1ps pre-pulse laser, upper: visible light distribution, lower: EUV emission distribution Proc. of SPIE Vol. 1254 12541A-2

6 5 ú 4 c m 'u m 3 c.-f (a) F 12 1 8 6 (b) it 2 ó 4 Ú 1 2 2 4 6 8 CO2 laser pulse energy (mj) 1 2 4 6 8 CO2 lase pulse energy (ml) 1 ns -pulse laser ps -pulse laser ns -pulse laser ps -pulse laser Figure 4. (a) Conversion efficiency and (b) Ionization rate performance using ns and ps pre-pulse laser. 2.3 Magnetic debris mitigation technology The high ionization rate shown in Fig. 4 (b) is a key parameter in magnetic debris mitigation concept to maximize the lifetime of the collector mirror. Pre-pulse laser produces the uniform mist from the liquid tin droplet. The EUV light is emitted from the tin plasma produced by the high power CO2 laser. Tin ions are guided towards ion catchers by the powerful magnetic field generated by the superconducting magnet. Remaining tin atoms deposit on the collector mirror and are etched by H2 gas. In this concept, H2 gas flow can be minimized because almost tin debris can be trapped as tin ions by the magnetic field. And also, high CE shown in Fig. 4 (a) can reduce the CO2 laser power. These mean the gas heating effect generated by high power CO2 laser shooting to tin droplets can be minimized in the high EUV power operation. Figure 5. Concept of magnetic debris mitigation Proc. of SPIE Vol. 1254 12541A-3

Saua 3. SYSTEM PERFORMANCE 3.1 Debris mitigation performance Figure 6 shows the recent tin deposition data on the collector mirror. These data were measured with using witness plates on collector mirror. Tin deposits clearly near ion catcher areas in the data of (a) and (b). This means that tin ions are effectively trapped by magnetic field and magnetic debris mitigation function effectively operates. Tin deposition near ion catcher area is due to the tin back diffusion from ion catchers. This has been improving by improving ion catchers, shown in Fig.6 (c). oam 4.26.]5 2.5.5 26 ] 11 11 1 15.]n ]W COCO 3.2 EUV power Figure 6. Tin deposition rate data on collector mirror Figure 7 (a) shows EUV power and CE dependency on CO2 laser power w/o dose control at 1kHz, 5% duty cycle. Maximum EUV power is 268W with 3.5% CE at 22kW CO2 laser power. We already achieved over 4.5% CE with over 1mJ CO2 pulse energy in small EUV light source experimental device. This means that there is a room for further optimization in our EUV light source system. Figure 7 (b) is the long term operation data with dose control. EUV power is 188W with below.3% dose stability (3sigma), which is controlled by CO2 laser power. Operation time is 7 hours. Average CE is 3.7% with about 15kW CO2 laser power. The EUV power in our EUV light source system has been approaching the power target of 25W for HVM. And also, these data support the advantage of our technology concepts such as the dual wavelength shooting and magnetic debris mitigation. 3 6% 25 7 25 (a) 5% 2 (b) 5% 3 2 4% E LL m `m 15 3% ó u- 15 m ñ > w1 2% Ú 3% 5 1% 5 -EW power at IF 2% 5 1 15 2 CO2 laser power (kw) % 25 Conversion efficiency 5 1. Pulse number (billion) 1% 15 Figure 7. (a) EUV power and CE dependency on CO2 laser power w/o dose control at 1kHz, 5% duty cycle, (b) EUV power and CE with dose control at 1kHz, 5% duty cycle as a function of pulse number Proc. of SPIE Vol. 1254 12541A-4

4. CONCLUSIONS We have developed LPP-EUV light source for HVM lithography. We showed the key technologies such as CO2 laser, pre-pulse laser and magnetic debris mitigation technology. We also show the latest performance data which is 188W EUV power at intermediate focus (IF) point with 3.7% conversion efficiency (CE) at 1 khz. ACKNOWLEDGEMENTS This work was partly supported by New Energy and Industrial Technology Development Organization (NEDO).We acknowledge to following researchers and organizations; Plasma simulation is supported by Dr. Jun Sunahara in Osaka University. Plasma diagnostics is supported by Dr. Kentaro Tomita, Prof. Kiichiro Uchino and others in Kyushu University. Laser engineering is supported by Dr. Akira Endo in HiLase Project (Prague). CO2 laser amplifier development is supported by Dr. Junichi Nishimae, Dr. Shuichi Fujikawa and others in Mitsubishi electric CO2 laser development team. REFERENCES [1] A. Pirati et al., Performance overview and outlook of EUV lithography systems, Proc. SPIE 9422, 94221P (215) [2] H. Mizoguchi et al., Performance of new high-power HVM LPP-EUV source, Proc. SPIE 9776, 9776J (216) [3] K. M. Nowak et al., CO2 laser drives extreme ultraviolet nano-lithography - second life of mature laser technology, Opto-Electron. Rev., 21(4):52 61(213) [4] K. M. Nowak et al., Multi-line short-pulse solid-state seeded carbon-dioxide laser for extreme ultraviolet employing multi-pass radio-frequency excited slab amplifier, Opt. Lett., 38(6):881-883( 213) [5] Y. Tanino et al., Efficient pulse amplification using a transverse-flow CO2 laser for extreme ultraviolet light source, Opt. Lett., 37(16):33 332(212) [6] T. Yanagida, et al., Extreme ultraviolet light generation system utilizing a pre-pulse to create a diffused dome shaped target, US Patent, 9,72,153 (215). Proc. of SPIE Vol. 1254 12541A-5