Jan Rabaey, «Low Powere Design Essentials," Springer tml

Similar documents
Low Power Design for Systems on a Chip. Tutorial Outline

Low Power Design in VLSI

Low Power VLSI Circuit Synthesis: Introduction and Course Outline

Low-Power Digital CMOS Design: A Survey

Low-Power CMOS VLSI Design

Lecture 4. The CMOS Inverter. DC Transfer Curve: Load line. DC Operation: Voltage Transfer Characteristic. Noise in Digital Integrated Circuits

Power Spring /7/05 L11 Power 1

1. Short answer questions. (30) a. What impact does increasing the length of a transistor have on power and delay? Why? (6)

LOW POWER VLSI TECHNIQUES FOR PORTABLE DEVICES Sandeep Singh 1, Neeraj Gupta 2, Rashmi Gupta 2

EE241 - Spring 2004 Advanced Digital Integrated Circuits. Announcements. Borivoje Nikolic. Lecture 15 Low-Power Design: Supply Voltage Scaling

Leakage Power Reduction for Logic Circuits Using Variable Body Biasing Technique

Innovations In Techniques And Design Strategies For Leakage And Overall Power Reduction In Cmos Vlsi Circuits: A Review

Design of Low Power Vlsi Circuits Using Cascode Logic Style

Low Power Realization of Subthreshold Digital Logic Circuits using Body Bias Technique

Power dissipation in CMOS

EE 330 Lecture 43. Digital Circuits. Other Logic Styles Dynamic Logic Circuits

Homework 10 posted just for practice. Office hours next week, schedule TBD. HKN review today. Your feedback is important!

Low Power Design Part I Introduction and VHDL design. Ricardo Santos LSCAD/FACOM/UFMS

Novel Buffer Design for Low Power and Less Delay in 45nm and 90nm Technology

Chapter 1 Introduction

Design of 32-bit ALU using Low Power Energy Efficient Full Adder Circuits

Sleepy Keeper Approach for Power Performance Tuning in VLSI Design

Temperature-adaptive voltage tuning for enhanced energy efficiency in ultra-low-voltage circuits

EE 330 Lecture 43. Digital Circuits. Other Logic Styles Dynamic Logic Circuits

EEC 118 Lecture #12: Dynamic Logic

A Static Power Model for Architects

Dynamic Logic. Domino logic P-E logic NORA logic 2-phase logic Multiple O/P domino logic Cascode logic 11/28/2012 1

A Survey of the Low Power Design Techniques at the Circuit Level

UNIT-II LOW POWER VLSI DESIGN APPROACHES

DIGITAL INTEGRATED CIRCUITS A DESIGN PERSPECTIVE 2 N D E D I T I O N

Energy-Recovery CMOS Design

Power and Energy. Courtesy of Dr. Daehyun Dr. Dr. Shmuel and Dr.

International Journal of Advanced Research in Computer Science and Software Engineering

EECS 427 Lecture 13: Leakage Power Reduction Readings: 6.4.2, CBF Ch.3. EECS 427 F09 Lecture Reminders

Design of low power SRAM Cell with combined effect of sleep stack and variable body bias technique

Designing of Low-Power VLSI Circuits using Non-Clocked Logic Style

Total reduction of leakage power through combined effect of Sleep stack and variable body biasing technique

NOVEL OSCILLATORS IN SUBTHRESHOLD REGIME

UNIT-1 Fundamentals of Low Power VLSI Design

CHAPTER 3 PERFORMANCE OF A TWO INPUT NAND GATE USING SUBTHRESHOLD LEAKAGE CONTROL TECHNIQUES

19. Design for Low Power

Robust Subthreshold Circuit Designing Using Sub-threshold Source Coupled Logic (STSCL)

EEC 216 Lecture #10: Ultra Low Voltage and Subthreshold Circuit Design. Rajeevan Amirtharajah University of California, Davis

Contents 1 Introduction 2 MOS Fabrication Technology

Announcements. Advanced Digital Integrated Circuits. Midterm feedback mailed back Homework #3 posted over the break due April 8

EECS 427 Lecture 22: Low and Multiple-Vdd Design

Low Power High Performance 10T Full Adder for Low Voltage CMOS Technology Using Dual Threshold Voltage

Combinational Logic Gates in CMOS

Lecture 13 CMOS Power Dissipation

Announcements. Advanced Digital Integrated Circuits. Quiz #3 today Homework #4 posted This lecture until 4pm

Topic 6. CMOS Static & Dynamic Logic Gates. Static CMOS Circuit. NMOS Transistors in Series/Parallel Connection

Reduce Power Consumption for Digital Cmos Circuits Using Dvts Algoritham

Improved Two Phase Clocked Adiabatic Static CMOS Logic Circuit

Design & Analysis of Low Power Full Adder

An Analysis of Novel CMOS Ring Oscillator Using LECTOR Technique with Minimum Leakage

Propagation Delay, Circuit Timing & Adder Design. ECE 152A Winter 2012

Chapter 6 Combinational CMOS Circuit and Logic Design. Jin-Fu Li Department of Electrical Engineering National Central University Jungli, Taiwan

Propagation Delay, Circuit Timing & Adder Design

EEC 118 Lecture #11: CMOS Design Guidelines Alternative Static Logic Families

Design and Implementation of Pipelined 4-Bit Binary Multiplier Using M.G.D.I. Technique

Opportunities and Challenges in Ultra Low Voltage CMOS. Rajeevan Amirtharajah University of California, Davis

A gate sizing and transistor fingering strategy for

Low Power Techniques for SoC Design: basic concepts and techniques

DESIGN FOR LOW-POWER USING MULTI-PHASE AND MULTI- FREQUENCY CLOCKING

Minimizing the Sub Threshold Leakage for High Performance CMOS Circuits Using Stacked Sleep Technique

Low Power, Area Efficient FinFET Circuit Design

COMPARISON AMONG DIFFERENT CMOS INVERTER WITH STACK KEEPER APPROACH IN VLSI DESIGN

Introduction. Digital Integrated Circuits A Design Perspective. Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic. July 30, 2002

Course Content. Course Content. Course Format. Low Power VLSI System Design Lecture 1: Introduction. Course focus

DESIGNING OF SRAM USING LECTOR TECHNIQUE TO REDUCE LEAKAGE POWER

A NEW APPROACH FOR DELAY AND LEAKAGE POWER REDUCTION IN CMOS VLSI CIRCUITS

Topics. Low Power Techniques. Based on Penn State CSE477 Lecture Notes 2002 M.J. Irwin and adapted from Digital Integrated Circuits 2002 J.

IMPLEMENTATION OF ADIABATIC DYNAMIC LOGIC IN BIT FULL ADDER

Lecture 12 Memory Circuits. Memory Architecture: Decoders. Semiconductor Memory Classification. Array-Structured Memory Architecture RWM NVRWM ROM

Comparison of Power Dissipation in inverter using SVL Techniques

Robust Ultra-Low Power Sub-threshold DTMOS Logic Λ

LOW POWER CMOS CELL STRUCTURES BASED ON ADIABATIC SWITCHING

EE 330 Lecture 44. Digital Circuits. Other Logic Styles Dynamic Logic Circuits

ECE 334: Electronic Circuits Lecture 10: Digital CMOS Circuits

CMPEN 411 VLSI Digital Circuits Spring Lecture 24: Peripheral Memory Circuits

EE 42/100 Lecture 23: CMOS Transistors and Logic Gates. Rev A 4/15/2012 (10:39 AM) Prof. Ali M. Niknejad

Datorstödd Elektronikkonstruktion

Data Word Length Reduction for Low-Power DSP Software

International Journal of Advanced Research in Biology Engineering Science and Technology (IJARBEST)

A Digital Clock Multiplier for Globally Asynchronous Locally Synchronous Designs

An Overview of Static Power Dissipation

Static Energy Reduction Techniques in Microprocessor Caches

1 Digital EE141 Integrated Circuits 2nd Introduction

STUDY OF VOLTAGE AND CURRENT SENSE AMPLIFIER

ELEC Digital Logic Circuits Fall 2015 Delay and Power

Performance Analysis of Different Adiabatic Logic Families

EMT 251 Introduction to IC Design

CPE/EE 427, CPE 527 VLSI Design I: Homeworks 3 & 4

Leakage Current Analysis

DYNAMIC VOLTAGE FREQUENCY SCALING (DVFS) FOR MICROPROCESSORS POWER AND ENERGY REDUCTION

CHAPTER 5 DESIGN AND ANALYSIS OF COMPLEMENTARY PASS- TRANSISTOR WITH ASYNCHRONOUS ADIABATIC LOGIC CIRCUITS

Novel Low-Overhead Operand Isolation Techniques for Low-Power Datapath Synthesis

Dual-K K Versus Dual-T T Technique for Gate Leakage Reduction : A Comparative Perspective

ECE 471/571 The CMOS Inverter Lecture-6. Gurjeet Singh

Lecture 16. Complementary metal oxide semiconductor (CMOS) CMOS 1-1

Transcription:

Jan Rabaey, «e Design Essentials," Springer 2009 http://web.me.com/janrabaey/lowpoweressentials/home.h tml Dimitrios Soudris, Christian Piguet, and Costas Goutis, Designing CMOS Circuits for Low POwer, Kluwer Academic Publishers, Sept. 2002 A. Chandrakasan and R. Brodersen, CMOS Design, Kluwer Academic Publishers, 1995 J. Rabaey and M. Pedram, Ed., Design Methodologies, Kluwer Academic Publishers, 1995 Design Course 2

High Volume Manufacturing Technology Node (nm) Integration Capacity (10 9.T) Delay = CV/I scaling 2004 2006 2008 2010 2012 2014 2016 2018 Σχήμα 4: Επερτόμενες τετνολογίες κατασκεσής ολοκληρωμένων κσκλωμάτων. Energy/Logic Op scaling 90 65 45 32 22 16 11 8 2 4 8 16 32 64 128 256 0.7 ~0.7 >0.7 Delay scaling will slow down >0.3 5 >0.5 >0.5 Energy scaling will slow down Variability Medium High Very High [Shekhar Borkar, Intel 2006 3

Emerging Technologies for fabricating integrated systems Design Course 4

To present low power estimation and optimization design methodologies and techniques at all design levels: System/Behavioral Level Architecture/Register-Transfer Level Logic Level Circuit/Transistor Level Aspects from System-On-Chip Design Course 5

Power is the rate at which energy is delivered or exchanged» electrical energy is converted to heat energy during operation Power Dissipation - rate at which energy is taken from the source (V dd ) and converted into heat Design Course 6

Large Market of Portable devices e.g. laptops, mobile phones Achieve larger transistor integration Teraflops Research Chip contains 1.9 bimillion transistors Need for green computers 10% of total electrical energy consumed by PCs Design Course 7

Battery Technology Improvements Design Course 8

Power Evolution over Technology Generations Design Course 9

Design Course 10

Design Course

Design Course 12

Design Course 13

Design Course 14

Design Course 15

Design Course 16

Design Course 17

Reduce chip capacitance through process scaling ==> Expensive Reduce Voltage levels from 5V 3.3V 2V ==> Industry is hard to move (microprocessors, memory,...) Better Circuit Techniques ==> Gated clocks, Power-Down of non-operational units Example: IBM 80 MHz PowerPC RISC (3 W @ 3.3V) Power Management Logic determines activity on per cycle basis Clocks of idle blocks are turned off 12-30% savings Doze - Nap and Sleep mode (5 mw) Design Course 18

Pentium-1: 15 Watt (5V - 66MHz) Pentium-2: 8 Watt (3.3V- 133 MHz) Design Course 19

Design Course 20

Year 1999 2002 2005 2008 2011 2014 Feature size (nm) 180 130 100 70 50 35 Logic trans/cm 2 6.2M 18M 39M 84M 180M 390M Cost/trans (mc) 1.735.580.255.110.049.022 #pads/chip 1867 2553 3492 4776 6532 8935 Clock (MHz) 1250 2100 3500 6000 10000 16900 Chip size (mm 2 ) 340 430 520 620 750 900 Wiring levels 6-7 7 7-8 8-9 9 10 Power supply (V) 1.8 1.5 1.2 0.9 0.6 0.5 High-perf pow (W) 90 130 160 170 175 183 Battery pow (W) 1.4 2 2.4 2.8 3.2 3.7 Design Course 21

Design Course 22

The power consumption in digital CMOS circuits P avg = P dynamic + P short-circuit + P leakage Dynamic Power Consumption Charging and Discharging Capacitors Short Circuit Currents Short Circuit Path between Supply Rails during Switching Leakage (Static) Leaking diodes and transistors Design Course 23

2 dynamic L dd P C V N f where V DD supply voltage, C L capacitance, N is the average number of transitions per clock cycle, and f frequency operation V dd V dd V dd Charging current IN OUT OUT OUT C L C L C L Discharging current (a) (b) (c) Design Course 24

Dynamic Power Consumption (2) For technologies up to 0.35 m, the dynamic consumption is about 80% of the total consumption Goal ===> reduce dynamic power consumption reduction capacitance reduction of supply voltage reduction of frequency reduction of switching activity or combination of above factors Design Course 25

Power = Energy/transition * transition rate = C L * V dd 2 * f 0 1 = C L * V dd 2 * P 0 1 * f = C EFF * V dd 2 * f Power Dissipation is Data Dependent Function of Switching Activity C EFF = Effective Capacitance = C L * P 0 1 Design Course 26

Power Consumption is Data Dependent Example: Static 2 Input NOR Gate Assume: P(A=1) = 1/2 P(B=1) = 1/2 Then: P(Out=1) = 1/4 P(0 1) = P(Out=0).P(Out=1) = 3/4 1/4 = 3/16 C EFF = 3/16 * C L Design Course 27

The short circuit power, is caused by the direct path from the power supply to ground, during the transition phase where K is a constant that depends on the transistor sizes and the technology, Vt is the threshold voltage of the nmos and pmos transistors, is the rise or fall time of the input signal, N is the average number of transitions in the inverter s output, and f is the clock frequency. 3 Pshort circuit K ( Vdd 2Vt ).. N. f Design Course 28

the reverse-bias diode leakage at the transistor drains and the sub-threshold current through an turnedoff transistor channel Log I D gate p+ p+ n-type substrate + V dd leakage current reversed-biased diode (drain-substrate) The leakage of a reverse-biased pmos transistor. 10-3 10-5 10-7 10-9 10-11 10-13 10-15 0 Subthreshold region Saturated region Decreasing V DS, V dd 0.5 1 1.5 2 V GS, volts Subthreshold leakage with respect to gate-source voltage Design Course 29

30

the reverse-bias diode leakage at the transistor drains and the sub-threshold current through an turnedoff transistor channel Log I D gate p+ p+ n-type substrate + V dd leakage current reversed-biased diode (drain-substrate) The leakage of a reverse-biased pmos transistor. 10-3 10-5 10-7 10-9 10-11 10-13 10-15 0 Subthreshold region Saturated region Decreasing V DS, V dd 0.5 1 1.5 2 V GS, volts Subthreshold leakage with respect to gate-source voltage Dimitrios Soudris, DUTH 31

Power consumption of transfer and storage over datapath operations both in hardware [Men95] and software [Tiw94, Gon96]. 33 relative energy/operation 1 3.6 4.4 9 10 relative energy 0.4 0.2 0.0 16-bit carry-select 16-bit Multiplier 8x128x16 SRAM (read) 8x128x16 SRAM (write) External I/O Access 16 bit Memory Access Storage Interconnect clocks Other RISC components Dimitrios Soudris, 32

Increasing power savings System level 10-20 x Behavior level RT level 2-5 x Logic level Transistor level 20-50% Layout level Design Course 33

Algorithm Transformation to exploit concurrency Architecture Parallelism and Pipelining Circuit/Logic Transistor Sizing, Fast Logic Structures Technology Threshold Voltage Reduction, Feature Size scaling Design Course 34

Syste m U Partitioning, Powe r-down, powe r state s Algorithm C omple xity, C oncurre ncy, Re gularity, Locality, Data re pre se ntation Archite cture C oncurre ncy, Instruction se t se le ction, Signal corre lations, Data re pre se ntation, Data Encoding C ircuit/logic Transistor siz ing, Logic optimiz ation, Powe r down, Layout O ptimiz ation Te chnology Advance d packaging, SO I Design Course 35

System Specifications System Specifications System-Level Design System-Level Design System-Level Analysis/Estimation Power models f or System -level com ponents Architecture-Level Design Logic-Level Design Architecture-Level Design Architecture-Level Analysis/Estimation Power models f or macrocells, control logic Logic-Level Design Circuit-Level Design / Layout synthesis Logic-Level Analysis/Estimation Power models f or gates, cells (a) Circuit-Level Design / Layout synthesis Circuit-Level Analysis/Estimation (b) Design Course 36

7.50 7.00 6.50 6.00 multiplier clock generator 2.0 m technology T d = C L * V dd I NORMALIZED DELAY 5.50 5.00 4.50 4.00 3.50 3.00 2.50 2.00 1.50 1.00 adder ring oscillator adder (SPICE) microcoded DSP chip T d(vdd=2) = (2) * (5-0.7) 2 T d(vdd=5) I ~ (V dd - V t ) 2 4 (5) * (2-0.7) 2 2.00 4.00 6.00 V dd (volts) Relatively independent of logic function and style. Design Course 37

NORMALIZED POWER-DELAY PRODUCT 1.5 1.00 0.70 0.50 0.30 0.20 0.15 0.1 0.07 0.05 0.03 quadratic dependence 51 stage ring oscillator 8-bit adder 1 2 5 Vdd (volts) P x t d = E t = C L * V dd 2 E (Vdd=2) = E (Vdd=5) (C L ) * (2) 2 (C L ) * (5) 2 E (Vdd=2) 0.16 E (Vdd =5) Strong function of voltage (V 2 dependence). Relatively independent of logic function and style. Power Delay Product Improves with lowering V DD. Design Course 38

Delay I D 2V t V dd V t = 0 V t = 0.2 V GS Reduces the Speed Loss, But Increases Leakage Interesting Design Approach: DESIGN FOR P Leakage == P Dynamic Design Course 39

Lower Capacitance Small W/L s Higher Voltage Higher Capacitance Large W/L s Lower Voltage Larger sized devices are useful only when interconnect dominated. Minimum sized devices are usually optimal for low-power. Design Course 40

Global bus architecture Local bus architecture Shared Resources incur Switching Overhead Design Course 41

Power Consumption is Data Dependent Example: Static 2 Input NOR Gate Assume: P(A=1) = 1/2 P(B=1) = 1/2 Then: P(Out=1) = 1/4 P(0 1) = P(Out=0).P(Out=1) = 3/4 1/4 = 3/16 C EFF = 3/16 * C L Design Course 42

Design Course 43

A X B Z Reconvergence P(Z=1) = P(B=1). P(X=1 B=1) Becomes complex and intractable real fast Design Course 44

V DD M p Out In 1 In 2 In 3 PDN M e Power is Only Dissipated when Out=0! C EFF = P(Out=0).C L Design Course 45

Example: Dynamic 2 Input NOR Gate Assume: P(A=1) = 1/2 P(B=1) = 1/2 Then: P(Out=0) = 3/4 C EFF = 3/4 * C L Switching Activity Is Always Higher in Dynamic Circuits Design Course 46

Switching Activity for Precharged Dynamic Gates P 0 1 = P 0 Design Course 47