LOW POWER DESIGN METHODOLOGIES

Similar documents
ANALOG CMOS FILTERS FOR VERY HIGH FREQUENCIES

ANALOG INTEGRATED CIRCUITS FOR COMMUNICATION Principles, Simulation and Design

Contents CONTRIBUTING FACTORS. Preface. List of trademarks 1. WHY ARE CUSTOM CIRCUITS SO MUCH FASTER?

INTEGRATED AUDIO AMPLIFIERS IN BCD TECHNOLOGY

Computer Automation in Manufacturing

LEAKAGE IN NANOMETER CMOS TECHNOLOGIES

Design of Logic Systems

SpringerBriefs in Electrical and Computer Engineering

A Case Study of Nanoscale FPGA Programmable Switches with Low Power

Variation Tolerant On-Chip Interconnects

DIGITAL INTEGRATED CIRCUITS A DESIGN PERSPECTIVE 2 N D E D I T I O N

K-Best Decoders for 5G+ Wireless Communication

METHODOLOGY FOR THE DIGITAL CALIBRATION OF ANALOG CIRCUITS AND SYSTEMS

ARTIFICIAL NEURAL NETWORKS Learning Algorithms, Performance Evaluation, and Applications

HYBRID NEURAL NETWORK AND EXPERT SYSTEMS

Dry Etching Technology for Semiconductors. Translation supervised by Kazuo Nojiri Translation by Yuki Ikezi

Low Power Adiabatic Logic Design

IMPLEMANTATION OF D FLIP FLOP BASED ON DIFFERENT XOR /XNOR GATE DESIGNS

Architecture Design and Validation Methods

PASSIVE COMPONENTS FOR DENSE OPTICAL INTEGRATION

Application of Evolutionary Algorithms for Multi-objective Optimization in VLSI and Embedded Systems

Risk/Benefit Analysis in Water Resources Planning and Management

Health Information Technology Standards. Series Editor: Tim Benson

Course Outcome of M.Tech (VLSI Design)

Springer Series in Advanced Microelectronics 33

Computational Intelligence for Network Structure Analytics

TIMING ANALYSIS AND OPTIMIZATION OF SEQUENTIAL CIRCUITS

Real-time Adaptive Concepts in Acoustics

HIGH-PERFORMANCE ENERGY-EFFICIENT MICROPROCESSOR DESIGN

TRANSISTOR CIRCUITS FOR SPACECRAFT POWER SYSTEM

Energy-Recovery CMOS Design

Power Optimized Energy Efficient Hybrid Circuits Design by Using A Novel Adiabatic Techniques N.L.S.P.Sai Ram*, K.Rajasekhar**

Jan Rabaey, «Low Powere Design Essentials," Springer tml

Course Content. Course Content. Course Format. Low Power VLSI System Design Lecture 1: Introduction. Course focus

ULTRA LOW POWER CAPACITIVE SENSOR INTERFACES

A design of 16-bit adiabatic Microprocessor core

The challenges of low power design Karen Yorav

Innovations In Techniques And Design Strategies For Leakage And Overall Power Reduction In Cmos Vlsi Circuits: A Review

Field-Effect Transistors in Integrated Circuits

Integrated Circuit Design for High-Speed Frequency Synthesis

PIPELINED LATTICE AND WAVE DIGITAL RECURSIVE FILTERS

Graduate Texts in Mathematics. Editorial Board. F. W. Gehring P. R. Halmos Managing Editor. c. C. Moore

Learn Autodesk Inventor 2018 Basics

II. Previous Work. III. New 8T Adder Design

Advances in Computational and Stochastic Optimization, Logic Programming, and Heuristic Search

Low Power, Area Efficient FinFET Circuit Design

Low Power Design Part I Introduction and VHDL design. Ricardo Santos LSCAD/FACOM/UFMS

ADVANCED POWER RECTIFIER CONCEPTS

Energy Reduction of Ultra-Low Voltage VLSI Circuits by Digit-Serial Architectures

Mohit Arora. The Art of Hardware Architecture. Design Methods and Techniques. for Digital Circuits. Springer

International Journal of Advanced Research in Computer Science and Software Engineering

Arts Management and Cultural Policy Research

DESIGN OF LOW-VOLTAGE, LOW-POWER OPERATIONAL AMPLIFIER CELLS

CMOS Test and Evaluation

Novel Low-Overhead Operand Isolation Techniques for Low-Power Datapath Synthesis

LEARNING, INNOVATION AND URBAN EVOLUTION

THE FIELDS OF ELECTRONICS

AUTOMATIC MODULATION RECOGNITION OF COMMUNICATION SIGNALS

ANALOG CIRCUIT DESIGN

ANALOG CIRCUITS AND SIGNAL PROCESSING

PERSONAL AND WIRELESS COMMUNICATIONS Digital Technology and Standards

Principles of Data Security

Manufacturing Challenges in Electronic Packaging

SURVEY AND EVALUATION OF LOW-POWER FULL-ADDER CELLS

Design for Innovative Value Towards a Sustainable Society

STATISTICAL MODELING FOR COMPUTER-AIDED DESIGN OF MOS VLSI CIRCUITS

Low-Power Digital CMOS Design: A Survey

MACGDI: Low Power MAC Based Filter Bank Using GDI Logic for Hearing Aid Applications

Clock-Powered CMOS: A Hybrid Adiabatic Logic Style for Energy-Efficient Computing

Semiconductor Device Physics and Simulation

Pramoda N V Department of Electronics and Communication Engineering, MCE Hassan Karnataka India

EECS 427 Lecture 22: Low and Multiple-Vdd Design

DESIGN AND ANALYSIS OF LOW POWER 10- TRANSISTOR FULL ADDERS USING NOVEL X-NOR GATES

Knowledge-B ased Process Planning for Construction and Manufacturing

High-Linearity CMOS. RF Front-End Circuits

Economics As a Science of Human Behaviour

Automated Multi-Camera Surveillance Algorithms and Practice

BIOMEDICAL E T H I C S REVIEWS

Lecture Perspectives. Administrivia

International Journal of Scientific & Engineering Research, Volume 4, Issue 5, May ISSN

Leakage Power Minimization in Deep-Submicron CMOS circuits

ALPS: An Automatic Layouter for Pass-Transistor Cell Synthesis

The Cultural and Social Foundations of Education. Series Editor A.G. Rud College of Education Washington State University USA

Lecture 30. Perspectives. Digital Integrated Circuits Perspectives

AS very large-scale integration (VLSI) circuits continue to

BT Telecommunications Series

COMPUTER-AIDED MECHANICAL ASSEMBLY PLANNING

Design and Implementation of Complex Multiplier Using Compressors

Practical Information

PRACTICAL RF SYSTEM DESIGN

Quality Management and Managerialism in Healthcare

Dual Threshold Voltage Design for Low Power VLSI Circuits

EMT 251 Introduction to IC Design

Power-conscious High Level Synthesis Using Loop Folding

British Library Cataloguing-in-Publication Data A catalogue record for this book is available from the British Library.

Policy-Based RTL Design

Synthetic Aperture Radar

Low-Power Multipliers with Data Wordlength Reduction

The Economics of Information, Communication, and Entertainment

NO MORE MUDDLING THROUGH

Transcription:

LOW POWER DESIGN METHODOLOGIES

LOW POWER DESIGN METHODOLOGIES edited by Jan M. Rabaey University Califomia and Massoud Pedram University of Southem Califomia SPRINGER SCIENCE+BUSINESS MEDIA, LLC

ISBN 978-1-46 13-5975-3 DOI 10.1007/978-1-4615-2307-9 ISBN 978-1-4615-2307-9 (ebook) Consulring Editor: Jonathan Allen, Massachuseus Insrüute of Techn%gy Library of Congress Cataloging-in-Publication Data A C.I.P. Catalogue record for this book is available from the Library of Congress. Copyright 10 1996 by Springer Science+Business Media New York. Originally published by KJuwer Academic Publishers in 1996 Softcover reprint ofthe hardcover I st edition 1996 All rights reserved. No pan of Ihis publication may be reproduced, stored in a retrieval system or Iransmitted in any fonn or by any means, mechanical, photo-copying, recording, or otherwise, without the prior written permission of the publisher, Springer Science+Business Media. LLC Printed on acid-free paper. This printing is a digital duplication of the original edition.

Table of Contents Table of Contents Preface Author Index v ix xi 1. Introduction 1 Jan M.Rabaey, Massolld Pedram and Palll Landman 1.1. Motivation... 1 1.2. Sources of Dissipation in Digital Integrated Circuits... 5 1.3. Degrees of Freedom... 8 1.4. Recurring Themes in Low-Power... 12 1.5. Emerging Low Power Approaches - An Overview... 14 1.6. Sununary... 15 PART I Technology and Circuit Design Levels 2. Device and Technology Impact on Low Power Electronics 21 Chenming Hu 2.1. Introduction... 21 2.2. Dynamic Dissipation in CMOS... 21 2.3. Effects of and on Speed... 22 2.4. Constraints on Reduction... 25 2.5. Transistor Sizing and Optimal Gate Oxide Thickness... 26 2.6. Impact of Technology Scaling... 28 2.7. Technology and Device Innovations... 31 2.8. Sununary... 33

vi Low Power Design Methodologies 3. Low Power Circuit Techniques 37 Christer Svensson and Dake ill 3.1. Introduction... 37 3.2. Power Consumption in Circuits... 38 3.3. Flip-flops and Latches... 47 3.4. Logic... 52 3.5. High Capacitance Nodes... 57 3.6. Summary... 62 4. Energy-Recovery CMOS 65 William C. Athas 4.1. A Simple Example... 67 4.2. A look at some practical details... 72 4.3. Retractile Logic... 76 4.4. Reversible Pipelines... 79 4.5. High-Performance Approaches... 84 4.6. Summary... 94 5. Low Power Clock Distribution 101 Joe G. Xi and Wayne W-M. Dai 5.1. Power Dissipation in Clock Distribution... 101 5.2. Single Driver vs. Distributed Buffers... 103 5.3. Buffer and Device Sizing under Process Variations... 109 5.4. Zero Skew vs. Tolerable Skew... 114 5.5. Chip and Package Co-Design of Clock Network... 119 5.6. Summary... 123

Table of Contents vii PART II Logic and Module Design Levels 6. Logic Synthesis for Low Power 129 Massoud Pedram 6.1. Introduction... 129 6.2. Power Estimation Techniques... 132 6.3. Power Minimization Techniques... 146 6.4. Concluding Remarks... 156 7. Low Power Arithmetic Components 161 Thomas K. Callaway alld Earl E. Swartzlallder 7.1. Introduction... 161 7.2. Circuit Design Style... 162 7.3. Adders... 170 7.4. Multipliers... '"... 186 7.5. Division... 194 7.6. Summary... 198 8. Low Power Memory Design 201 Kiyoh Itoh 8.1. Introduction... 201 8.2. Sources and Reductions of Power Dissipation in Memory Subsystem... 205 8.3. Sources of Power Dissipation in DRAM and SRAM... 213 8.4. Low Power DRAM Circuits... 218 8.5. Low Power SRAM Circuits... 241

viii Low Power Design Methodologies PART III Architecture and System Design Levels 9. Low-Power Microprocessor Design 255 Sonya Gary 9.1. System Power Management Support... 256 9.2. Architectural Trade-Offs For Power...,... 260 9.3. Choosing the Supply Voltage... 273 9.4. Low-Power Clocking... 276 9.5. Implementation Options for Low Power... 281 9.6. Power and PeIformance: Comparing Microprocessors... 284 9.7. Summary... 286 10. Portable Video-on-Demand in Wireless Communication 289 Teresa H. Meng. Benjamin M. Gordon. and Ely K. Tsern 10.1. Introduction... 290 10.2. Video Compression for Portable Applications... 292 10.3. Subband Decomposition and Pyramid Vector Quantization... 296 10.4. Error-Resilient Compression... 302 10.5. Low-Power Circuit Design Techniques... 308 10.6. Low-Power Decoder Architectures... 317 10.7. Summary... 332 11. Algorithm and Architectural Level Methodologies 335 Renll Mehra. David Udsky. Arthur Abnolls. Palll Landman and Jan Rabaey 11.1. Introduction... 335 11.2. Design Flow... 336 11.3. Algorithm level: Analysis and Optimization... 338 11.4. Architecture level: Estimation and Synthesis... 350 11.5. Summary... 359 Index 363

Preface Most of the research and development efforts in the area of digital electronics have been oriented towards increasing the speed and the complexity of single chip digital systems. This has resulted in a powerful, but power-hungry, design technology, which enabled the development of personal workstations, sophisticated computer graphics, and multi-media capabilities such as real-time speech recognition and real-time video. While focusing the attention on speed and area, power consumption has long been ignored. This picture is, however, undergoing some radical changes. Power consumption of individual components is reaching the limits of what can be dealt with by economic packaging technologies, resulting in reduced device reliability. Dealing with power is rapidly becoming one of the most demanding issues in digital system design. This situation is aggravated by the increasing demand for portable systems in the areas of communication, computation and consumer electronics. Improvements in battery technology are easily offset by the increasing complexity and performance of those applications. To guarantee a reasonable battery operation time, a dramatic (e.g., loox) reduction of the power consumption is essential. These realizations spurred a renewed interest in low power design over the last five years. Researchers learned quickly that there is no single solution to the power dissipation problem. In fact, to be meaningful and have a real impact, power optimization should occur at all levels of the design hierarchy, including the technology, circuit, layout, logic, architectural and algorithmic levels. It is our experience that combining optimizations at all those levels easily results in orders of magnitude of power reduction. Realizing these potential savings requires a thorough understanding of where power is dissipated in a digital integrated circuit. Once the dominant sources of dissipation are identified, a whole battery of low power design techniques can be brought in action. A significant portion of the overall challenge of making low power design techniques and methodologies practical involves going

x Low Power Design Methodologies Preface through the existing synopsis of power conscious techniques and finding the right combination of methods and tools for a particular application domain. It is a fair statement to say that low power design is foremost an educational problem. For too long. digital integrated circuit courses focused solely on performance and area optimization. To make low power design a reality. it is essential to make the power dimension an integral part of the design process. even in the early phases of the design conception. This book has been conceived as an effort to bring all aspects of low power design together in a single document. It covers all layers of the design hierarchy from the technology. circuit. logic and architectural levels up to the system layer. Besides offering an in-depth insight into the mechanisms of power dissipation in digital circuits. it also presents the state-of-the-art approaches to power estimation and reduction. Finally. it introduces a global view on low power design methodologies and how these are being captured in the latest design automation environments. The different chapters from this manuscript were developed by the leading researchers in their respective areas. Contributions are from both academia and industry. The many contributors of this book have extensively documented the various approaches for designing and implementing power efficient circuits and systems. and have presented them in a way that is understandable and useful to the designers as well as developers. The book can also be used as a textbook for teaching an advanced course on low power design methodologies and approaches. Instructors can select various combinations of chapters and augment them with some of the many references provided at the end of each chapter to tailor the book to their educational needs. We are convinced that this document will serve as a broad and thorough introduction for anyone interested in the low-power dimension of design and hope that it will spur further research in all aspects of low power design. Again. mastering the power problem is mandatory if integrated circuits are to maintain their growth curve of the last decades. Jail M. Rabaey. Tokyo Massolld Pedram. Los Angeles

Author Index Arthur Abnous University of California, Berkeley abnous@eecs.berkeley.edu William Athas Information Sciences Institute (lsi) athas@isledu Thomas K. Callaway University of Texas. Austin tkc@pine.ece.utexas.edu Wayne W M. Dai University of California, Santa Cruz dai@ce.ucsc.edu Sonya Gary Motorola Somerset sonyag@ibmoto.com Benjamin M. Gordon Stanford University bgordon@tilden.stanford.edu Chenming Hu University of California, Berkeley hu@eecs.berkeley.edu Kiyoh Hoh Hitachi Ltd., Kokubunji-shi 81-423-27-7694 (fax) Paul E. Landman Texas Instruments, Dallas landman@nikki.hc.ti.co David Lidsky University of California, Berkeley lidsky@eecs.berkeley.edu Dake Liu University of Linkoping. Sweden dake_l@ifm.liu.se Renll Mehra University of California, Berkeley mehra@eecs.berkeley.edu Teresa H. Meng Stanford University teresa@tilden.stanford.edu Massolld Pedram University of Southern California massoud@zugros.usc.edu Jan M. Rabaey University of California, Berkeley jan@eecs.berkeley.edu Christer Svensson University of Linkoping, Sweden chs@ifm.liu.se Earl E. Swartzlander University of Texas, Austin e.swartzlander@compmail.com Ely K. Tsern Stanford University tsern@tilden.stanford.edu Joe G. Xi University of California. Santa Cruz joex@ce.ucsc.edu

LOW POWER DESIGN METHODOLOGIES