Dries Van Thourhout IPRM 08, Paris

Similar documents
Acknowledgements. Outline. Outline. III-V Silicon heterogeneous integration for integrated transmitters and receivers. Sources Detectors Bonding

Si and InP Integration in the HELIOS project

Lecture: Integration of silicon photonics with electronics. Prepared by Jean-Marc FEDELI CEA-LETI

Heterogenous integration of InP/InGaAsP photodetectors onto ultracompact Silicon-on-Insulator waveguide circuits

A silicon nanophotonic platform for optical interconnects

Photonic Integrated Circuits Made in Berlin

Heinrich-Hertz-Institut Berlin

Grating coupled photonic crystal demultiplexer with integrated detectors on InPmembrane

Integrated photonic circuit in silicon on insulator for Fourier domain optical coherence tomography

Figure 1 Basic waveguide structure

Index. Cambridge University Press Silicon Photonics Design Lukas Chrostowski and Michael Hochberg. Index.

Silicon Photonics Technology Platform To Advance The Development Of Optical Interconnects

Silicon Photonics: A Platform for Integration, Wafer Level Assembly and Packaging

A thin foil optical strain gage based on silicon-on-insulator microresonators

A continuous-wave Raman silicon laser

NEXT GENERATION SILICON PHOTONICS FOR COMPUTING AND COMMUNICATION PHILIPPE ABSIL

Hybrid Silicon Lasers

All-Optical Wavelength Conversion Using Mode Switching in an InP Microdisc Laser

A 3.9 ns 8.9 mw 4 4 Silicon Photonic Switch Hybrid-Integrated with CMOS Driver

IEEE JOURNAL OF SELECTED TOPICS IN QUANTUM ELECTRONICS 2010 Silicon Photonic Circuits: On-CMOS Integration, Fiber Optical Coupling, and Packaging

Convergence Challenges of Photonics with Electronics

Silicon-On-Insulator based guided wave optical clock distribution

OPTI510R: Photonics. Khanh Kieu College of Optical Sciences, University of Arizona Meinel building R.626

Silicon Photonics Photo-Detector Announcement. Mario Paniccia Intel Fellow Director, Photonics Technology Lab

Near/Mid-Infrared Heterogeneous Si Photonics

Ultracompact Adiabatic Bi-sectional Tapered Coupler for the Si/III-V Heterogeneous Integration

Examination Optoelectronic Communication Technology. April 11, Name: Student ID number: OCT1 1: OCT 2: OCT 3: OCT 4: Total: Grade:

VERTICAL CAVITY SURFACE EMITTING LASER

A tunable Si CMOS photonic multiplexer/de-multiplexer

Introduction Fundamentals of laser Types of lasers Semiconductor lasers

Electrically pumped InP-based microdisk lasers integrated with a nanophotonic silicon-oninsulator

Foundry processes for silicon photonics. Pieter Dumon 7 April 2010 ECIO

Optics Communications

Silicon Photonics Opportunity, Applicatoins & Recent Results. Mario Paniccia, Director Photonics Technology Lab Intel Corporation

InP-based Photonic Integration: Learning from CMOS

Compact wavelength router based on a Silicon-on-insulator arrayed waveguide grating pigtailed to a fiber array

Hybrid vertical-cavity laser integration on silicon

CHAPTER 2 POLARIZATION SPLITTER- ROTATOR BASED ON A DOUBLE- ETCHED DIRECTIONAL COUPLER

Lecture 4 INTEGRATED PHOTONICS

Fabrication of High-Speed Resonant Cavity Enhanced Schottky Photodiodes

Submicron SOI waveguides Dries Van Thourhout Trento 05

Silicon Photonics Opportunity, applications & Recent Results

Winter College on Optics: Fundamentals of Photonics - Theory, Devices and Applications February 2014

OPTICAL I/O RESEARCH PROGRAM AT IMEC

High-efficiency fiber-to-chip grating couplers realized using an advanced CMOS-compatible Silicon-On-Insulator platform

Lecture 6 Fiber Optical Communication Lecture 6, Slide 1

Monolithic integration of erbium-doped amplifiers with silicon waveguides

Si CMOS Technical Working Group

Design of InGaAs/InP 1.55μm vertical cavity surface emitting lasers (VCSEL)

Microphotonics Readiness for Commercial CMOS Manufacturing. Marco Romagnoli

Vertical External Cavity Surface Emitting Laser

64 Channel Flip-Chip Mounted Selectively Oxidized GaAs VCSEL Array

Contents Silicon Photonic Wire Waveguides: Fundamentals and Applications

Electronic-Photonic ICs for Low Cost and Scalable Datacenter Solutions

Basic concepts. Optical Sources (b) Optical Sources (a) Requirements for light sources (b) Requirements for light sources (a)

High-speed Ge photodetector monolithically integrated with large cross silicon-on-insulator waveguide

Silicon Integrated Photonics

Integrated AlGaInAs-silicon evanescent racetrack laser and photodetector

Optical Bus for Intra and Inter-chip Optical Interconnects

Innovative ultra-broadband ubiquitous Wireless communications through terahertz transceivers ibrow

InP-based Waveguide Photodetector with Integrated Photon Multiplication

Lecture 1: Course Overview. Rajeev J. Ram

Photonics and Optical Communication Spring 2005

High speed silicon-based optoelectronic devices Delphine Marris-Morini Institut d Electronique Fondamentale, Université Paris Sud

Invited Paper. Keywords: Silicon evanescent laser, Silicon photonics, integration, photodetector, semiconductor laser

Getty Images. Advances in integrating directbandgap. semiconductors on silicon could help drive silicon photonics forward.

Integration of Optoelectronic and RF Devices for Applications in Optical Interconnect and Wireless Communication

Putting PICs in Products A Practical Guideline. Katarzyna Ławniczuk

Integrated AlGaInAs-silicon evanescent racetrack laser and photodetector

Bistability in Bipolar Cascade VCSELs

Low Thermal Resistance Flip-Chip Bonding of 850nm 2-D VCSEL Arrays Capable of 10 Gbit/s/ch Operation

Quantum-Well Semiconductor Saturable Absorber Mirror

InP-based Waveguide Photodetector with Integrated Photon Multiplication

Photonic crystal lasers in InGaAsP on a SiO 2 /Si substrate and its thermal impedance

May 21-23, 2012 Białystok, Poland

Silicon/III-V laser with super-compact diffraction grating for WDM applications in electronic-photonic integrated circuits

Bias-free, low power and optically driven membrane InP switch on SOI for remotely configurable photonic packet switches

Heterogeneously Integrated Microdisk Lasers for Optical Interconnects and Optical Logic

VERSATILE SILICON PHOTONIC PLATFORM FOR DATACOM AND COMPUTERCOM APPLICATIONS. B Szelag CEA-Leti

Demonstration of Silicon-on-insulator midinfrared spectrometers operating at 3.8μm

Robert G. Hunsperger. Integrated Optics. Theory and Technology. Sixth Edition. 4ü Spri rineer g<

Lecture 9 External Modulators and Detectors

A Fully Integrated 20 Gb/s Optoelectronic Transceiver Implemented in a Standard

High-efficiency, high-speed VCSELs with deep oxidation layers

Silicon photonics with low loss and small polarization dependency. Timo Aalto VTT Technical Research Centre of Finland

IST IP NOBEL "Next generation Optical network for Broadband European Leadership"

Silicon photonics on 3 and 12 μm thick SOI for optical interconnects Timo Aalto VTT Technical Research Centre of Finland

Si-EPIC Workshop: Silicon Nanophotonics Fabrication Fibre Grating Couplers

Document Version Publisher s PDF, also known as Version of Record (includes final page, issue and volume numbers)

Silicon-on-insulator spectrometers with integrated GaInAsSb photodiodes for wide-band spectroscopy from 1510 to 2300 nm

Nanophotonics for low latency optical integrated circuits

Optodevice Data Book ODE I. Rev.9 Mar Opnext Japan, Inc.

Fully-Etched Grating Coupler with Low Back Reflection

Modulation characteristics and microwave generation for AlGaInAs/InP microring lasers under four-wave mixing

Zukunftstechnologie Dünnglasbasierte elektrooptische. Research Center of Microperipheric Technologies

A Hybrid III-V-on-silicon micro-laser with Resonant Cavity Mirrors

The Light at the End of the Wire. Dana Vantrease + HP Labs + Mikko Lipasti

III V/Silicon-on-Insulator Nanophotonic Cavities for Optical Network-on-Chip

EE 232 Lightwave Devices Optical Interconnects

Silicon Photonics: an Industrial Perspective

Transcription:

III-V silicon heterogeneous integration ti Dries Van Thourhout IPRM 08, Paris InP/InGaAsP epitaxial layer stack Si WG DVS- BCB SiO 2 200nm

III-V silicon heterogeneous integration ti Dries Van Thourhout IPRM 08, Paris InP/InGaAsP epitaxial layer stack Si WG DVS- BCB SiO 2 200nm 1. Silicon photonics is great!!! 2. But we still need InP 3. III-V silicon integration 4. Devices

Acknowledgements Photonics Research Group III-V silicon integration: G. Roelkens, J. Van Campenhout, J. Brouckaert, L. Liu Silicon Processing W. Bogaerts, P. Dumon, S. Selvarajan, R. Baets EU IST-PICMOS team J.M. Fedeli, L. Di Cioccio (LETI) (molecular bonding, processing) C. Seassal, P. Rojo-Romeo, P. Regreny, P. Viktorovitch (INL) (processing, epitaxy) R. Notzel, X.J.M. Leijtens (TU/e) (epitaxy) C. Lagahe, B. Aspar (TRACIT) (planarization)

III-V silicon heterogeneous integration ti InP/InGaAsP epitaxial layer stack Si WG DVS- BCB SiO 2 200nm 1. Silicon photonics is great!!! 2. But we still need InP 3. III-V silicon integration 4. Devices

Silicon photonics Width (500nm) x Height (220nm) the solution to all our problems? Transparent at telecom wavelengths (1.3 µm, 1.55 µm) High refractive index contrast ultra-compact circuits SiO 2 (1-2 µm) ) Compatible with CMOS-processing Highest Silicon quality processes High yield, high repeatability Integration with electronics Pattern definition: DUV litho`?

Photonic wiring Low loss bends <0.3dB excess loss for splitters Excess bend loss [db/90 0 ] 0.08 0.06 0.04 0.02 0 0.09dB/90 0.027dB/90 0.01dB/90 0.004dB/90 1 2 3 4 5 Radius [um] 97% transmission in crossings (b)

Wavelength dependent devices 0 FSR -5 transm mission [db] -10-15 -20 1 2 3 4 8 16 1-25 -30 1520 1525 1530 1535 1540 1545 1550 1555 1560 wavelength [nm]

Increasing Index Contrast Glass based devices Bend Radius ~ 5 mm 5 mm 5 cm 200 µm Silicon photonic ICs Bend Radius < 5µm InP/InGaAsP (bend radius ~ 500um)

Silicon Photonics Intel 40GHz Detector Fiber cable plugs here Luxtera Ethernet Tranceiver Ceramic Package IBM Modulator

Silicon Photonics Silicon photonics comes in many flavors Large rib type waveguides Small core devices Optimized for nanophotonics Small device size Easy coupling with fiber This work and many others Large device size e.g. www.kotura.com Full CMOS integration Fabricated in CMOS process Directly integrated with electronics e.g. www.luxtera.com

III-V on silicon? Silicon photonics gives us: Excellent passives Fast modulators, fast photodetectors t t But: (almost) no light Need for integration ti with III-Vs Requirements High density (~10-20um device pitch) High alignment accuracy (~100nm) Waferscale processes

III-V silicon heterogeneous integration ti InP/InGaAsP epitaxial layer stack Si WG DVS- BCB SiO 2 200nm 1. Silicon photonics is great!!! 2. But we still need InP 3. III-V silicon integration 4. Devices

III-V on silicon There are several ways to integrate III-V VonSOI Flip-chip integration of opto-electronic components most rugged technology testing of opto-electronic components in advance slow sequential process (alignment accuracy) low density of integration Hetero-epitaxial growth of III-V on silicon collective process, high density of integration See other talks at this conference mismatch in lattice constant, CTE, polar/non-polar contamination and temperature budget Bonding of III-V epitaxial layers sequential but fast integration process high density of integration, collective processing high quality epitaxial III-V layers

Proposed integration process Starting point: Processed SOI-waveguide wafer 193nm or 248nm DUV lithography Fabricated in pilot CMOS-line

Proposed integration process Planarization Planarization Using BCB (50nm to 2um) (UGent/IMEC) Using SiO 2 (TRACIT - CEA-LETI)

Proposed integration process Die-to-wafer bonding Bonding InP-dies on top of planarized SOI-wafer Low alignment accuracy required Fast pick-and-place place

Proposed integration process Substrate removal Remove InP-substrate down to etch stop layer Remove etch stop Thin membrane remains (200nm ~ 2 µm)

Proposed integration process Hardmask deposition Micro-disk sources Detectors DBR sources Decontamination ti and hardmask deposition Alignment of waveguides and devices through lithographic methods

Proposed integration process Processing of InP-optoelectronic devices Mesa etching and Metallization Waferscale processing!!! on 2cm 2 pieces (UGent, INL) on 200mm wafers (CEA-LETI)

III-V/Silicon photonics Bonding of III-V epitaxial i layers Molecular die-to-wafer bonding Based on van der Waals attraction act between ee wafer surfaces Requires atomic contact between both surfaces - very sensitive to particles - very sensitive to roughness Adhesive die-to-wafer bonding - very sensitive to contamination of surfaces Uses an adhesive layer as a glue to stick both surfaces Requirements are more relaxed compared to Molecular - glue compensates for particles (some) - glue compensates for roughness (all) - glue allows (some) contamination of surfaces

Bonding Technology Requirements for the adhesive for bonding Optically transparent <0.1dB/cm High thermal stability (post-bonding thermal budget) 400C Low curing temperature (low thermal stress) No outgassing upon curing (void formation) Resistant to all kinds of chemicals 250C OK HCl,H 2 SO 4,H 2 O 2, DVS-BCB satisfies these requirements CH 3 CH 3 Si O Si CH 3 CH 3 1,3-divinyl-1,1,3,3-tetramethyldisiloxane-bisbenzocyclobutene

Bonding Technology Cross-sectional image of III-V/Silicon substrate InP/InGaAsP epitaxial layer stack InP-InGaAsP epitaxial layer stack DVS-BCB Si Si WG SiO 2 DVS-BCB 200nm Si SiO 2 200nm 300nm bonding layer routinely and reliably obtained

Bonding Technology Cross-sectional image of III-V/Silicon substrate InP/InGaAsP epitaxial layer stack InP-InGaAsP epitaxial layer stack DVS-BCB Si Si WG SiO 2 DVS-BCB 200nm Si SiO 2 200nm 300nm bonding layer routinely and reliably obtained Recently also sub-100nm layers demonstrated

III-V silicon heterogeneous integration ti InP/InGaAsP epitaxial layer stack Si WG DVS- BCB SiO 2 200nm 1. Silicon photonics is great!!! 2. But we still need InP 3. III-V silicon integration 4. Devices

Integrated Devices: laser diode Integrated t laser diodes d First only pulsed operation due to high thermal resistivity DVS-BCB Integration of a heat sink to improve heat dissipation Continuous wave operation achieved this way

Other groups Intel / UCSB Hybrid laser CEA-LETI / III-V Vlab p-type contact 5 µm n-type contact III-V etched facet Output t power (mw) 5 4 3 2 1 10 C 15 C 20 C 25 C 30 C 35 C 40 C 5 4 3 2 1 Voltage (V V) 0 0 100 200 300 400 Current (ma) (IPRM 08, paper MoA4.2) 0

MSM detectors Etching of detectors in III-V Spinning insulation layer of polyimide Opening contact window Metallization 25µm long detector InGaAs/ InAlAs polyimide R = 1.0A/W (1550nm), IQE = 80% (5V bias) I dark = 3nA (5V bias) contact window SOI waveguides (30µm pitch) L=30µm, d=400nm no absorption 40µm contact window Ti/Au contact IN InGaAs absorption 40µm IN

Wavelength selective filter V_bias = -10V 1 ) 1x4 demux, λ=20nm, 280µm x 150µm Photo o current (ma 0.1 001 0.01 0.001 1480 1500 1520 1540 1560 1580 1600 1620

Integrated microdisk laser Microdisk laser design Whispering-gallery modes Central top contact Bottom contact on thin lateral contact layer (t s ) Hole injection through a reverse-biased tunnel-junction bottom contact Si waveguide w Si d ox 2R disk top contact tunnel junction SiO 2 Si substrate active layer InP t s t Microdisk thickness 0.5 < t < 1µm Evanescent coupling to SOI wire waveguide (500x220nm 2 )

On-chip optical interconnect? Integrate t photonic interconnect t on CMOS? III-V material microlaser SOI waveguide microdetector SOI Optical Interconnect layer Electrical Interconnect layer Silicon transistor layer Need integrated interconnect layer on top of CMOS Silicon wiring for interconnect III-V microdevices for sources and detectors

PICMOS 25 µm A collaborative project InP island SOI waveguide microdisk IMEC: metallization III-V processing TU/e: detector etching IMEC: SOI-wafer fabrication INL: substrate removal INL: source etching SiO 2 BCB InP - InGaAsP TRACIT: planarization Si wire LETI: bonding LETI: hard mask 130-nm bonding layer Si substrate Six cleanrooms but still working devices

Output pow wer (µw) Continuous-wave lasing 1-µm thick, 75 7.5-µm devices exhibit continuous-wave lasing 30 2.5 CW power 25 20 15 10 5 Pulsed peak power CW Voltage 2 1.5 1 0.5 Voltage (V) Spectral pow wer (dbm) -20-30 -40-50 -60-70 -80 09 0.9mA 0 0 0.5 1 1.5 2 Current (ma) 0-90 1560 1580 1600 1620 1640 Wavelength (nm) Threshold current I th = 0.5mA, voltage V th = 1.5-1.7V BCB slope efficiency = 30µW/mA, up to 10µW InP - InGaAsP (Pulsed regime: up to 100µW peak power) SiO 2 Si wire J. Van Campenhout et al., "Electrically pumped inp-based microdisk lasers Si integrated substrate with a nanophotonic silicon-on-insulator waveguide circuit" Optics intec 2007 Express, - 130-nm Photonics May Research 2007 Group - http://photonics.intec.ugent.be b di

Temperature dependence Laser emission up to 70 C (pulsed operation) pow wer [a.u.] 400 300 200 100 D = 6µm T=10 C T=20 C T=30 C T=40 C T=50 C peak wave elength [nm] T=60 C 0 400 600 800 1000 1200 1400 1600 1800 current [ua] 1572 1571 1570 1569 1568 1567 T=70 C dλ 86 pmk dt 1 0 10 20 30 40 50 Tamb [ C] dn ( InP) 210 dt dn dt ( BCB) 7 10 4 1 K 3 1 K

Fit to experimental data 30 3 Optic cal Power (µw) 25 20 15 10 5 pulsed data CW data 2.5 2 1.5 1 0.5 ) Voltage (V 0 0 0 05 0.5 1 15 1.5 2 25 2.5 3 Current (ma) Model can be fitted to pulsed experimental data, assuming: uniform injection: injection efficiency =0.36x0.7=0.25 coupling loss = 3cm -1 (simulation) tunnel-junction p-doping N a = 2x10 18 cm -3 (design target N a = 2x10 19 cm -3, SIMS analysis: N a ~ 8x10 18 cm -3 ) fitted scatter loss = 8cm -1 (passive ring resonators: 7-13cm -1 ) Consistent fit, except for tunnel-junction p-doping and saturation effect

Ultra-low-power Wavelength conversion tunable laser oscilloscope detector pattern generator polarization controller high-speed detector modulator band-pass filter variable attentuator EDFA polarization controller SOI wg. MDL mod de intensity (db Bm/0.1nm) -10-20 -30-40 -50-60 dominant lasing wavelength of MDL w/o injection with injection λ FSR =32nm injected laser wavelength -70 1.56 1.58 1.6 1.62 wavelength (µm) power (a.u.) 1 0.5 2ns 0 0 0.5 1 1.5 2 2.5 time (ns) No control power needed. Wavelength conversion with only 6.4uW control power. 5Gbps dynamic results.

Full Link D Demonstrator t t di die (contains ( t i 256 optical ti l links) li k ) 7mm 264 Micro detectors (TU Ei Eindhoven dh / Cobra) C b ) FIBRE G GRATING C COUPLERS S Point-to--point links s 120 DBR microlasers Broadc cast links s Point-to--point links s FIBRE G GRATING C COUPLERS S 120 Microdisk lasers laser III-V die detector III-V die 9mm 200mm SOI wafer

Pulsed operation of the link monitor grating Duty cycle = 8% Period = 1 µs on-chip detector Detector not biased (0V), negligible gbedark current Performance under pulsed operation: Threshold current < 700 µa & Slope efficiency ~ 1.1 µw/ma Detector t efficiency i of f023029a/w 0.23-0.29 A/W.

Outlook & conclusion We demonstrated: t d Ultra-dense waveguiding < 2 µm pitch (waveguide-to-waveguide) waveguide) A powerfull III-V on Silicon integration technology Several proof-of-principle of principle demonstrators Electrically pumped micro-disk sources on silicon platform 500 µa threshold current Micro-detectors on silicon platform 1.0A/W Fabrication using waferscale processes

Single wavelength Outlook & conclusion We still need to: Multi-wavelength sources Improve source performance Towards 50 µa threshold current 10GHz modulation speed 30% internal efficiency λ 1 2 3 Through improved processing λ λ Through improved device design λ Improved high temperature operation Full fabrication in CMOS pilot-line 1 2line λ 1 λ 2 λ 3 N λ λ λ λ λ Integration with CMOS electronic driving circuit Implement WDM-functionality 3 N N λ 1,λ 2... λ N-1,λ N λ 1,λ 2... λ N-1,λ N

Multi-wavelength Laser 4-wavelength laser D1 D2 D3 D4 SM fiber grating coupler powe er (db) -10-20 -30-40 -50 (a) λ = 23nm FSR biased at: 4mA D2 10µm diameter 7.5µm diameter -10 (b) D1 D4 D3 D2 D1 powe er (db) -20-30 -40-50 λ = 32nm FSR biased at: 3mA D2 D1 D4 D3 D2 D1-60 -60-70 1.56 1.57 1.58 1.59 1.6 1.61 1.62 wavelength (µm) -70 1.56 1.57 1.58 1.59 1.6 1.61 1.62 wavelength (µm)

Outlook & conclusion We still need to: Improve source performance Towards 50 µa threshold current 10GHz modulation speed 30% internal efficiency Through improved processing Through improved device design Improved high temperature operation Full fabrication in CMOS pilot-line line Integration with CMOS electronic driving circuit Implement WDM-functionality Simplify overall processing

Outlook & conclusion Simplify processing Avoid critical patterning in the III-V layer Silicon racetrack III-V film

Acknowledgements Photonics Research Group III-V silicon integration: G. Roelkens, J. Van Campenhout, J. Brouckaert, L. Liu Silicon Processing W. Bogaerts, P. Dumon, S. Selvarajan, R. Baets PICMOS team J.M. Fedeli, L. Di Cioccio (LETI) (molecular bonding, processing) C. Lagahe, B. Aspar (TRACIT) (planarization) C. Seassal, P. Rojo-Romeo, P. Regreny, P. Viktorovitch (INL) (processing, epitaxy) R. Notzel, X.J.M. Leijtens (TU/e) (epitaxy)

Fiber-chip coupling Important: 1µm Low loss coupling Large bandwidth Coupling tolerance Fabrication Limited extra processing Tolerant to fabrication SOI wire Low reflection Polarization? Single-mode fiber

Coupling to fiber Grating coupler Alternative: Grating couplers Waferscale testing Waferscale packaging High alignment tolerance From Fibre Single mode fiber core shallow fibre coupler 70% efficiency measured deep trench Towards optical circuit

Increase effieciency? Top view Improving performance Add bottom mirror grating coupler Apodize Other With mirror Without mirror BCB FIB cross-section 1dB bandwidth > 40nm

Main Challenges 1. Coupling of light between III-V and Silicon Option 1: evanescent Guiding in silicon Requires thin bonding layer Requires III-V thinner than <250nm Option 2: other (adiabatic, grating coupler ) Guiding in III-V Thicker III-V layer Sometimes thicker bonding

Main Challenges 1. Coupling of light between III-V and Silicon Option 1: evanescent Guiding in silicon Requires thin bonding layer Requires III-V thinner than <250nm Loss at metal contact Option 2: other (adiabatic, grating coupler ) Guiding in III-V Thicker III-V layer Sometimes thicker bonding 2. Electrical injection Metal contact on membrane devices without inducing additional loss 3. Thermal management Overcome thermal barrier of bonding layer and BOX

Integrated Devices: laser diode Integrated laser diodes Fabry-Perot laser cavity by etching InP/InGaAsP laser facets Inverted adiabatic taper coupling approach