Inline Control of an Ultra Low-k ILD layer using Broadband Spectroscopic Ellipsometry

Similar documents
UVISEL. Spectroscopic Phase Modulated Ellipsometer. The Ideal Tool for Thin Film and Material Characterization

Process Optimization

Apply multiple target for advanced gate ADI critical dimension measurement by scatterometry technology

ABSTRACT (100 WORDS) 1. INTRODUCTION

Managing Within Budget

In-line focus monitoring and fast determination of best focus using scatterometry

450mm and Moore s Law Advanced Packaging Challenges and the Impact of 3D

Electrical Characterization

MICROBUMP LITHOGRAPHY FOR 3D STACKING APPLICATIONS

Automated Spectrophotometric Spatial Profiling of Coated Optical Wafers

A Laser-Based Thin-Film Growth Monitor

Critical Dimension Sample Planning for 300 mm Wafer Fabs

Line edge roughness on photo lithographic masks

Basic Functional Analysis. Sample Report Richmond Road, Suite 500, Ottawa, ON K2H 5B7 Canada Tel:

450mm silicon wafers specification challenges. Mike Goldstein Intel Corp.

Plasma Enhanced Chemical Vapor Deposition (PECVD) of Silicon Nitride (SiNx) Using Oxford Instruments System 100 PECVD

UVISEL 2. Interface. Thickness. Refractive index. Roughness. Extinction coefficient. Scientific Ellipsometric Platform

immersion optics Immersion Lithography with ASML HydroLith TWINSCAN System Modifications for Immersion Lithography by Bob Streefkerk

Process Control Limits in a CMOS ASIC Fabrication Process K. Jayavel, K.S.R.C.Murthy

Overlay accuracy a metal layer study

Supplementary Figure 1 Reflective and refractive behaviors of light with normal

PhE102-VASE. PHE102 Variable Angle Spectroscopic Ellipsometer. Angstrom Advanced Inc. Angstrom Advanced. Angstrom Advanced

32nm High-K/Metal Gate Version Including 2nd Generation Intel Core processor family

LITE /LAB /SCAN /INLINE:

The End of Thresholds: Subwavelength Optical Linewidth Measurement Using the Flux-Area Technique

Ultra-thin Die Characterization for Stack-die Packaging

GSM OPTICAL MONITORING FOR HIGH PRECISION THIN FILM DEPOSITION

Lithography. Development of High-Quality Attenuated Phase-Shift Masks

INSPECTION AND REVIEW PORTFOLIO FOR 3D FUTURE

Kalman Filtering Methods for Semiconductor Manufacturing

M J.A. Woollam Co., Inc. Ellipsometry Solutions

CD-SEM for 65-nm Process Node

Lithography. Taking Sides to Optimize Wafer Surface Uniformity. Backside Inspection Applications In Lithography

Holistic View of Lithography for Double Patterning. Skip Miller ASML

Major Fabrication Steps in MOS Process Flow

DOE Project: Resist Characterization

Performance data of a new 248 nm CD metrology tool proved on COG reticles and PSM s

Dual Magnetron Sputtering of Aluminum and Silicon Oxides for Low Temperature, High Rate Processing Abstract Background

Feature-level Compensation & Control

Semiconductor and LED Markets. Jon Sabol Vice President and General Manager Semiconductor and LED Division

Recent Trends in Semiconductor IC Device Manufacturing

Department of Astronomy, Graduate School of Science, the University of Tokyo, Hongo, Bunkyo-ku, Tokyo , Japan;

6. LDD Design Tradeoffs on Latch-Up and Degradation in SOI MOSFET

CMOS Digital Integrated Circuits Lec 2 Fabrication of MOSFETs

Improving the Collection Efficiency of Raman Scattering

CMOS Compatible Hyperspectral Optical Filters

Spectral Analysis of the LUND/DMI Earthshine Telescope and Filters

PROCESS-VOLTAGE-TEMPERATURE (PVT) VARIATIONS AND STATIC TIMING ANALYSIS

Photonics and Optical Communication

FinFET vs. FD-SOI Key Advantages & Disadvantages

Layout Analysis Floorplan

i- Line Photoresist Development: Replacement Evaluation of OiR

A simple null-field ellipsometric imaging system (NEIS) for in situ monitoring of EUV-induced deposition on EUV optics

Noise Analysis of AHR Spectrometer Author: Andrew Xiang

Plan Optik AG. Plan Optik AG PRODUCT CATALOGUE

Optical Characterization and Defect Inspection for 3D Stacked IC Technology

Supplementary Figure 1. GO thin film thickness characterization. The thickness of the prepared GO thin

Member of the Academy of Screen Printing Technology

Wafer-Edge Challenges

Photolithography I ( Part 1 )

Figure 7 Dynamic range expansion of Shack- Hartmann sensor using a spatial-light modulator

CHAPTER 2 POLARIZATION SPLITTER- ROTATOR BASED ON A DOUBLE- ETCHED DIRECTIONAL COUPLER

An Equivalent Circuit Model for On-chip Inductors with Gradual Changed Structure

Session 2: Silicon and Carbon Photonics (11:00 11:30, Huxley LT311)

Lithography. 3 rd. lecture: introduction. Prof. Yosi Shacham-Diamand. Fall 2004

IMPACT OF 450MM ON CMP

StarBright XLT Optical Coatings

Tunable Color Filters Based on Metal-Insulator-Metal Resonators

Module 2: CMOS FEOL Analysis

Research in Support of the Die / Package Interface

Applications of Maskless Lithography for the Production of Large Area Substrates Using the SF-100 ELITE. Jay Sasserath, PhD

From Sand to Silicon Making of a Chip Illustrations May 2009

Etch, Deposition, and Metrology Options for Cost-Effective Thin-Film Bulk Acoustic Resonator (FBAR) Production

Manufacturer Part Number. Module 4: CMOS SRAM Analysis

The Issues of Measurement of Optical Hazard Using Photometers EMRP JRP ENG05 Metrology for Solid State Lighting

Modeling and CAD Challenges for DFY. Patrick G. Drennan Freescale Semiconductor Tempe, AZ, USA

Section 2: Lithography. Jaeger Chapter 2. EE143 Ali Javey Slide 5-1

White Paper Stratix III Programmable Power

Filters for Dual Band Infrared Imagers

Midaz Micro-Slab DPSS Lasers:

A Brief Introduction to Single Electron Transistors. December 18, 2011

Design, Characteristics and Performance of Diamond Pad Conditioners

A Perspective on Semiconductor Equipment. R. B. Herring March 4, 2004

Plasma Charging Damage Induced by a Power Ramp Down Step in the end of Plasma Enhanced Chemical Vapour Deposition (PECVD) Process

Newer process technology (since 1999) includes :

UV LED ILLUMINATION STEPPER OFFERS HIGH PERFORMANCE AND LOW COST OF OWNERSHIP

Supplementary Materials for

Optical Requirements

AVIA DPSS Lasers: Advanced Design for Increased Process Throughput

Optimizing FinFET Structures with Design-based Metrology

Advanced Features of InfraTec Pyroelectric Detectors

Lecture 22 Optical MEMS (4)

Graphene electro-optic modulator with 30 GHz bandwidth

EFFECTS OF USG CURRENT AND BONDING LOAD ON BONDING FORMATION IN QFN STACKED DIE PACKAGE. A. Jalar, S.A. Radzi and M.A.A. Hamid

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. The lithographic process

Interference metal/dielectric filters integrated on CMOS image sensors SEMICON Europa, 7-8 October 2014

Development of a LFLE Double Pattern Process for TE Mode Photonic Devices. Mycahya Eggleston Advisor: Dr. Stephen Preble

Chamber characterization and predictive maintenance of PECVD chamber

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. EE143 Ali Javey Slide 5-1

Characterization of e-beam induced resist slimming using etched feature measurements.

Transcription:

Inline Control of an Ultra Low-k ILD layer using Broadband Spectroscopic Ellipsometry Ronny Haupt, Jiang Zhiming, Leander Haensel KLA-Tencor Corporation One Technology Drive, Milpitas 95035, CA Ulf Peter Mueller, Ulrich Mayer GLOBALFOUNDRIES Module One Limited Liability Company & Co. KG Wilschdorfer Landstrasse 101, 01109 Dresden Abstract As chip dimensions are scaling down new challenges develop in the back-end-of-line. In order to keep the capacitance small while decreasing the volume of the inter-layer dielectric (ILD), new materials and processes have been introduced over the past years to lower the dielectric constant of the ILD layers. For design rules of 45nm and below porous Ultra low-k materials are widely used in today s semiconductor process flows. Beside process challenges this introduces stringent requirements for metrology not only to monitor film thickness but other properties of the material as well. This paper discusses the development and implementation of a Broadband Spectroscopic Ellipsometer for inline process control of a SiCOH based porous ultra low-k film. After deposition the material is cured with UV light to introduce the porosity. The challenge for the metrology is to measure both the thickness and an adequate metric for the chemical properties which do no longer correlate with optical properties. In addition the chemical properties vary as a gradient from top to bottom of the film. We discuss the methodology to develop a metrology recipe resulting in the thickness of a metric layer and the percentaged thickness shrink being the best parameters to sense and track the process adequately. Results demonstrate the sensitivity of the technique to process variations. Short term precision, long term stability and tool-to-tool matching results prove that the technique enables routine process monitoring in a high volume automated semiconductor fab. Inter-layer dielectric, ILD, ultra low-k, ULK, Metrology, Spectroscopic Ellipsometry, UV cure I. INTRODUCTION Semiconductor manufacturers are driven to an aggressive roadmap to improve device performance in order to remain competitive and provide technology that enables complex computations to be performed in reasonable time with high reliability. In the back-end-of-line (BEOL) of semiconductor processing one of the keys to stay competitive is the inter-layer dielectric (ILD). In order to avoid unwanted effects like capacitive charging or cross-talk between layers the capacitance of the ILD has to be kept small while its volume is decreasing due to shrinking chip dimensions. This led to the introduction of several new materials and processes to lower the dielectric constant of the ILD layers [1]. One of the major innovations in the recent past has been the introduction of porous ultra low-k (ULK) materials in the BEOL process flow. These materials with a typical dielectric constant of 2.6 and below are SiCOH-based and cured with UV light after deposition to generate the pores [2]. Besides the challenge to handle the process itself these new ILD films generate new metrology requirements in order to appropriately monitor and control the process conditions. This paper discusses the capabilities of optical film metrology to measure both the thickness and an adequate metric for the chemical properties which do no longer correlate with optical properties of the ILD material necessarily and vary as a gradient in the film. The existing metrology regime on another spectroscopic ellipsometer (SE) system only monitors the thickness after SiCOH deposition and secondly thickness and refractive index of the total film after UV cure. II. ELLIPSOMETRY METHODOLOGY This study uses the Aleris 8350 Broadband Spectroscopic Ellipsometer (BBSE) from KLA-Tencor. The signal captured by the ellipsometer (tanψ and cosδ) is sensitive to the refractive index and thickness of the film being measured. The chemical composition of the film is correlated with the optical properties i.e. the refractive index of the film. This is the basis for sensitivity of the ellipsometer to composition changes in the film. The Aleris 8350 is a broadband SE with effective wavelength range from 220nm to 800nm. The system has an effective measurement box size of 50 x 50 μm which enables in-line measurements on product wafers. The tool is further equipped with software for model based analysis of collected spectra. A homogenous model representing the film or stack being measured is used as a starting point with reasonable space where the thickness and refractive index can vary. A mathematical regression is performed to match the measured spectra to the modeled spectra resulting in an estimation of the thickness and refractive index. Advanced models such as Harmonic Oscillator (HO), Bruggeman Effective Medium Approximation (BEMA) or parametric gradient models can be implemented. In the case of the SiCOH-based ULK film a two layer approach with HO models consisting of two oscillators each was used as described in the next chapter. 978-1-61284-409-1/11/$26.00 2011 IEEE

III. BBSE MEASUREMENT SETUP In order to understand the key challenges and parameters of interest for the film metrology one needs to understand some characteristics and effects of the process to generate the SiCOH-based ULK film. A low-k SiCOH material is deposited together with an organic additive using a PECVD process. The UV-light cure process removes the organic additives which generates the pores in the material and leads to shrinkage of the ILD film. In order to enhance the mechanical properties esp. the cross-linking to the layer underneath the UV cure is extended further. In summary the cure process induces four effects in the ILD film: densification of the material, enhancement of mechanical parameters [4], loss of carbon and shrinkage [3]. Because the film is exposed with the UV light from above, these four effects fall off from top to bottom. In order to control the process adequately, this gradient in chemical properties of the film needs to be measured. An adequate process control metric would be the carbon concentration of the ULK film. This could be measured using Secondary ion mass spectrometry (SIMS). However, because the bottom of the film is of main interest in order to determine the condition of the interface to the layer underneath, SIMS cannot measure the gradient in carbon concentration. In addition SIMS analysis cannot be implemented as in-line metrology regime. That is why the carbon concentration response was not studied for this paper. The shrinkage of the film can be used as an overall monitor for the cure process and easily obtained by SE measurements after SiCOH deposition and after UV cure. However, this does not provide a measure for the gradient of the chemical properties nor the film characteristics at the bottom of the ILD film. In the past years composition measurements developed as a new field of application for optical thin film metrology (e.g. SiGe, Nitrided Gate, High-k Gate). The composition of the film is derived from some parameters of its optical properties, e.g. the refractive index with the correlation to reference metrology. In the case of the ULK film discussed herein this approach is challenged by the gap in sensitivity of reference metrology to the carbon concentration at the bottom of the layer and the nonmonotonic trend of the refractive index across the curing process duration as shown in Fig. 1 for the wavelength of 633nm. Ideally, a measured or derived parameter can be found, which correlates with the chemical properties i.e. the carbon concentration at the very bottom of the film. A. BBSE Sensitivity Study (DOE#1) In order to extract the film dispersions, gain an understanding which modeling approach could be used and study the sensitivity of BBSE to the parameters of interest, a first set of wafers was designed. The SiCOH films were deposited with approximately 25% of the target thickness and cured with different UV exposure durations. In addition, one uncured wafer was used to extract the dispersion of unexposed SiCOH. Fig. 2 illustrates the raw BBSE spectra from wafers with different exposure level. The spectral difference proves that the sensitivity of the Aleris 8350 BBSE signal to the variation in the process. After the dispersions have been extracted and compared for all exposure levels, different dispersion models and modeling approaches were investigated in order to figure out which gives the best sensitivity to the process variation and especially reflects the chemical properties at the bottom of the film. It was found that a two layer approach provided the best results. The model consists of a thin layer of unexposed SiCOH (T1) and a thick layer of exposed SiCOH (T2) on top. The thickness of the bottom layer is used as a metric for the exposure level at the very bottom of the film, which is of interest in order to control the film characteristics at the interface to the layer underneath. For the top layer, floating of the dispersion model is enabled in the regression to reflect the changes in optical properties of the film. The overall thickness of the ULK is represented by the sum of both thicknesses (SumT). As shown in Fig. 3, 4 and 5, all measured parameters correlate well to the variation in exposure time and match to the process expectation. In summary, the two-layer model approach is providing a metric for the film characteristics at the bottom of the film. Figure 1. The refractive index n at 633nm over UV exposure time taken from a reference SE system. n has a nonmonotonic trend across the UV curing duration. Both axes are normalized to the value of the process target. Figure 2. Raw spectra (tanψ and cosδ as functions of wavelength in nanometers) from three silicon wafers with ULK film of similar deposited

thickness but different UV curing duration illustrating the optical sensitivity to the process variation. B. Study of Sensitivity to Process Parameters (DOE#2) In order to study the influence of all potential process parameters and verify the recipe modeling approach, a second DOE wafer set was designed as described in Table I. The UV curing time is the major process parameter. Other influencing factors are the initial thickness of the SiCOH film before curing and the intensity of the UV lamp used in the curing process tool. The variation was limited to a reasonable range around the process target. The DOE was designed with two groups of wafers. In the first group (sample 2 to 11) only one parameter was varied and the other two fixed. In the second group (sample 12 to 19) all parameters were varied within the process range. Sample 1 was generated with all parameters at process target that represents the process of record (POR). Figure 3. Correlation of the measured thickness of the bottom layer T1 to the UV exposure time. T1 is sensitive to the process variation and provides a metric for the film characteristics at the interface to the underneath film. The fraction of unexposed SiCOH in the stack decreases with extended UV curing, following a logarithmic dependency. All values are normalized to the process target. Figure 4. Measured total thickness SumT and the thickness shrink vs. the UV exposure time. SumT decreases with extended UV curing causing the material shrink to increases. This behavior matches with the process expectations. All values are normalized to the process target. Figure 5. Refractive index n at two wavelength vs. UV exposure time. N shows the characteristic behavior over extended UV curing. All values are normalized to the process target. TABLE I. Sample# DOE#2 OVERVIEW, ALL VALUES ARE NORMALIZED TO THE PROCESS TARGET Nominal deposited thickness UV exposure time lamp power Measured deposited thickness (POR) 1 100.0% 1.00 100% 100.0% 2 100.0% 1.00 115% 99.5% 3 100.0% 1.00 85% 100.5% 4 103.2% 1.00 100% 103.2% 5 101.6% 1.00 100% 101.8% 6 98.4% 1.00 100% 98.3% 7 96.8% 1.00 100% 96.5% 8 100.0% 1.27 100% 100.4% 9 100.0% 1.13 100% 100.7% 10 100.0% 0.87 100% 100.7% 11 100.0% 0.73 100% 100.1% 12 101.6% 1.13 115% 102.0% 13 101.6% 1.13 85% 101.6% 14 101.6% 0.87 115% 102.2% 15 101.6% 0.87 85% 101.8% 16 98.4% 1.13 115% 98.4% 17 98.4% 1.13 85% 98.8% 18 98.4% 0.87 115% 98.8% 19 98.4% 0.87 85% 99.0% Analyzing the measured spectra using the recipe and dispersion model from the first study, it was found that the metric bottom layer thickness did no longer correlate to any of the process parameters, esp. the UV exposure time. This is mainly an effect of the larger film thickness which causes only a small portion of the BBSE signal to interact with the very bottom of the film. The measured value of the overall film total thickness and refractive index were still reasonable and correlated very well with the process parameters. In order to make the measurement sensitive to the more important metric for the bottom of the film, the model had to be revised. The final solution was developed using the same two layer approach dispersion models as extracted from the first DOE but allowing the dispersion parameters of the bottom layer to float in the model regression as well. Because both dispersions are similar, this increased the effect of correlation between both layers in the model. In order to keep the correlation between both layers at a minimum, the regression of the bottom layer parameters had to be limited within narrow ranges.

Figure 6. Correlation of the bottom layer thickness T1 to the process parameter UV exposure time. T1 shows a linear correlation trend and can be used as a metric for the film characteristics at the bottom of the layer. All values are normalized to the process target. Figure 8. Correlation of percentaged thickness shrink to the process parameter UV exposure time. The relative shrink shows a linear correlation trend and can be used as a process control parameter for the overall film. UV exposure time values are normalized to the process target. Figure 7. Correlation of the bottom layer thickness T1 to the process parameter lamp power. T1 shows a linear correlation trend and can be used as a metric for the film characteristics at the bottom of the layer. All values are normalized to the process target. Using the adjusted model the results for the metric layer thickness T1 improve significantly. As illustrated in Fig. 6 and 7, T1 provides a reliable metric for the film characteristics at bottom of the ULK film. Only the variation in initial thickness is not tracked by the measurement results. This is explainable with the correlation effects within the model, where a change in initial thickness is mainly compensated in the model s top layer. This gap in sensitivity is not an issue going forward because the SiCOH thickness after deposition is monitored independently in the process flow anyway. Furthermore, the measured percentaged shrink of the thickness due to the curing process was found to be an excellent measure for the effect to the overall film. The percentaged shrink provides linear correlation to all process parameters independent from the initial thickness before the curing process. Fig. 8, 9 and 10 illustrate that the measured parameter correlates well to the process parameters and meet the process expectations. In summary the developed recipe provides good sensitivity and meets the process expectations for all parameters of interest. The unique approach compared to standard SE measurements is the thin bottom layer which is just used as a metric layer with its thickness correlating to the chemical properties at the very bottom of the ILD film. Figure 9. Correlation of percentaged thickness shrink to the process parameter lamp power. The relative shrink shows a linear correlation trend and can be used as a process control parameter for the overall film. Lamp power values are normalized to the process target. Figure 10. Percentaged thickness shrink vs. process influence initial thickness. The relative shrink is constant and independent from the deposited SiCOH thickness. This behavior meets the process expectations. Thickness values are normalized to the process target. IV. VERIFICATION OF MEASUREMENT RESULTS Usually reference metrology is used in order to verify results of indirect measurements like SE. As already described, in the case of ULK, this reference is not available for the major parameter of interest the chemical properties i.e. the carbon concentration at the bottom of the film. That is why the Aleris results have to be correlated to the process parameters and

compared with process expectations. As described in the previous chapter, this was successful for all major parameters of interest. Furthermore the measured overall thickness and refractive index were compared to the existing metrology step on a different SE system. An excellent linear correlation with a slope close to 1 as illustrated in Fig. 11 confirms the measurement results of Aleris. Additionally the measured wafer uniformity was compared before and after the curing process. As shown in Fig. 12, the uniformity of the thickness remains in the same range whereas the uniformity of the refractive index becomes worse. This matches the process expectations. The huge variation in refractive index across the wafer and even locally within small areas after UV curing was confirmed for another project using a variable angle SE lab tool. A third measure for the reasonability of indirect measurements is to perform a line scan across the wafer, plot the results over the wafer diameter and compare the uniformity and shape of the plots between different wafers of a DOE set. The shape should be smooth, without flyers and show the same trend for all wafers as illustrated in Fig 13 for the major measured parameter bottom layer thickness T1. For all other parameters, the diameter scan shows consistent results across the entire DOE as well. Figure 13. Bottom layer thickness T1 over the wafer diameter for all wafers of DOE#2. The consistent shape across the entire process range confirms the Aleris results. Thickness values are normalized to the result in the center of the POR wafer. V. THROUGHPUT, MEASUREMENT PRECISION, STABILITY & MATCHING The tool throughput was recorded using the same measurement setup to achieve the performance discussed in this chapter. The throughput is based on 5- or 49-site measurement per wafer and measuring all 25 wafers of a lot. The results are listed in Table II. TABLE II. THROUGHPUT COMPARISON OF A 5-SITE- AND 49-SITE RECIPE USING THE MEASUREMENT SETUP BKM. Recipe MAM time/ s Throughput/ wph 5-site 5.3 85.2 49-site 5.3 11.3 Figure 11. Thickness and refractive index of reference SE system vs. Aleris results. The excellent correlation confirms that the Aleris results are matching to the baseline. All values are normalized to the results of the POR wafer. Figure 12. Wafer uniformity of thickness and refractive index before vs. after UV curing. The excellent correlation for thickness confirms the Aleris results. The missing correlation for the refractive index is explainable with a proven induced non-uniformity for optical parameters. All values are normalized to the results of the POR wafer. In order to prove the in-line capability and production worthiness of the Aleris measurement, the standard performance measures have been recorded with the developed recipe on a subset of 3 wafers, which were cured with exposure levels at the process target, slightly above and below. Precision was recorded on one Aleris tool measuring the same measurement site 10 times without unloading the wafer (static repeatability). The 3sigma of the 10 single results was calculated. Long-term stability was recorded on one Aleris system measuring a 49 point wafer map once per day over 5 days (dynamic repeatability). The 3sigma of the 5 wafer means was calculated. Tool-to-tool matching was recorded by measuring the 49 point wafer map once on 3 different Aleris systems. The range of wafer means was calculated. As illustrated in Fig. 14 and 15, the measurement performance of the Aleris 8350 is production worthy. Precision and matching is excellent for the measured parameters SumT and n. In comparison the performance for the metric layer T1 is poorer because the SE signal has much lower sensitivity to the very bottom of the layer. However the measurement is still repeatable. The result from the long-term test could not be used because the wafers where changing over time and the longterm results overlaid by changing wafer conditions.

would be desired, but could not be performed due to missing analysis capabilities. Figure 14. Relative static precision results for T1, SumT and n on a subset of 3 wafers. The precision for SumT and n is excellent. The precision for T1 is higher due to the low sensitivity of SE to the bottom of the film. REFERENCES [1] H. Geng, Semiconductor Manufacturing handbook, McGraw-Hill, 2005 [2] H. Ruelke, Integration of low-k and ULK interconnects TechArena SEMICON Europa 2009, October 2009 [3] U. Mayer, M. Hecker, H. Geisler, High volume ULK production, TechArena SEMICON Europa 2010, October 2010 [4] H. Geisler, U. Mayer, M.U. Lehr, P. Hofmann and H.-J. Engelmann, Profiling of the Mechanical Properties of Ultralow-k Films Using Nanoindentation Techniques, 2010 MRS Fall Meeting Proceedings, January 2011 Figure 15. Relative tool-to-tool matching results for T1, SumT and n on a subset of 3 wafers. The matching for SumT and n is excellent. The matching for T1 is higher due to the low sensitivity of SE to the bottom of the film. VI. SUMMARY AND OUTLOOK This paper discussed the development of an optical measurement approach to control and monitor the thickness and chemical properties of a UV cured, graded ultra low-k ILD film. The measurement is performed on KLA-Tencor s Aleris 8350 broadband spectroscopic ellipsometer tool. The approach to study the sensitivity of BBSE and the development of the model-based recipe for this indirect measurement was described. As outcome a recipe using a twolayer model was used where thickness and refractive index of both layers are floated in the model regression and reported as results. It was found that the thickness of the thin metric bottom layer can be used as a monitor for the chemical properties at the bottom of the ILD film which is the main parameter of interest. The percentaged thickness shrink can be used as a process control parameter for the overall film. All measured parameters correlate well with the process parameters and match the process expectations. The collected measurement precision, matching and throughput results confirm that the Aleris 8350 provides a production worthy inline metrology solution for this application. For a final confirmation of the measurement approach, a correlation of the measured bottom layer thickness to the real carbon concentration at the interface to the film underneath