DesignCon Full Chip Signal and Power Integrity with Silicon Substrate Effect. Norio Matsui Dileep Divekar Neven Orhanovic

Similar documents
Decomposition of Coplanar and Multilayer Interconnect Structures with Split Power Distribution Planes for Hybrid Circuit Field Analysis

Nonlinear Full Wave Time Domain Solutions using FDTD_SPICE for High Speed Digital and RF

FDTD SPICE Analysis of High-Speed Cells in Silicon Integrated Circuits

Full Wave Analysis of Planar Interconnect Structures Using FDTD SPICE

Extraction of Transmission Line Parameters and Effect of Conductive Substrates on their Characteristics

LSI and Circuit Technologies for the SX-8 Supercomputer

On Chip Active Decoupling Capacitors for Supply Noise Reduction for Power Gating and Dynamic Dual Vdd Circuits in Digital VLSI

Practical Limitations of State of the Art Passive Printed Circuit Board Power Delivery Networks for High Performance Compute Systems

Design of the Power Delivery System for Next Generation Gigahertz Packages

UNIT-III POWER ESTIMATION AND ANALYSIS

Signal Integrity Modeling and Simulation for IC/Package Co-Design

2.5D & 3D Package Signal Integrity A Paradigm Shift

EMI Reduction on an Automotive Microcontroller

CIRCUITS. Raj Nair Donald Bennett PRENTICE HALL

Development and Validation of a Microcontroller Model for EMC

HIGH-SPEED integrated circuits require accurate widebandwidth

A Simulation Study of Simultaneous Switching Noise

A CAD-Oriented Modeling Approach of Frequency-Dependent Behavior of Substrate Noise Coupling for Mixed-Signal IC Design

Signal integrity means clean

Microcircuit Electrical Issues

Figure 1. Inductance

Compensation for Simultaneous Switching Noise in VLSI Packaging Brock J. LaMeres University of Colorado September 15, 2005

Power Distribution Paths in 3-D ICs

High-Speed Interconnect Technology for Servers

ASPDAC Tutorial: Power, Timing & Signal Integrity in SoC designs Section II

Equivalent Circuit Model Overview of Chip Spiral Inductors

DesignCon On-Chip Power Supply Noise and Reliability Analysis for Multi-Gigabit I/O Interfaces

1 FUNDAMENTAL CONCEPTS What is Noise Coupling 1

EE 434 ASIC and Digital Systems. Prof. Dae Hyun Kim School of Electrical Engineering and Computer Science Washington State University.

Using Sonnet EM Analysis with Cadence Virtuoso in RFIC Design. Sonnet Application Note: SAN-201B July 2011

2009 Spring CS211 Digital Systems & Lab 1 CHAPTER 3: TECHNOLOGY (PART 2)

An Investigation of the Effect of Chassis Connections on Radiated EMI from PCBs

Signal Integrity Design of TSV-Based 3D IC

Modelling electromagnetic field coupling from an ESD gun to an IC

CHAPTER 6 DIGITAL CIRCUIT DESIGN USING SINGLE ELECTRON TRANSISTOR LOGIC

PROCESS-VOLTAGE-TEMPERATURE (PVT) VARIATIONS AND STATIC TIMING ANALYSIS

Wafer-scale 3D integration of silicon-on-insulator RF amplifiers

Microcontroller Systems. ELET 3232 Topic 13: Load Analysis

Performance Improvement by System Aware Substrate Noise Analysis for Mixed-signal IC

The Evolution of Waveform Relaxation for Circuit and Electromagnetic Solvers

Taking the Mystery out of Signal Integrity

Impact of Low-Impedance Substrate on Power Supply Integrity

Demystifying Vias in High-Speed PCB Design

Broadband Methodology for Power Distribution System Analysis of Chip, Package and Board for High Speed IO Design

ECE 484 VLSI Digital Circuits Fall Lecture 02: Design Metrics

Full Wave Solution for Intel CPU With a Heat Sink for EMC Investigations

Microwave Frequency Interconnection Line Model of a Wafer Level Package

Skin Effect: A Natural Phenomenon for Minimization of Ground Bounce in VLSI RC Interconnect Shilpi Lavania

Modeling and Analysis of Multichip Module Power Supply Planes

Substrate Coupling in RF Analog/Mixed Signal IC Design: A Review

CAD oriented study of Polyimide interface layer on Silicon substrate for RF applications

Chapter 2. Inductor Design for RFIC Applications

IFSIN 4.- SUBSTRATE MODELING SUBSTRATE COUPLING

An Equivalent Circuit Model for On-chip Inductors with Gradual Changed Structure

DATASHEET CADENCE QRC EXTRACTION

Comparison of IC Conducted Emission Measurement Methods

Introduction to Digital VLSI Design מבוא לתכנון VLSI ספרתי

Integrated diodes. The forward voltage drop only slightly depends on the forward current. ELEKTRONIKOS ĮTAISAI

DEPARTMENT FOR CONTINUING EDUCATION

Relationship Between Signal Integrity and EMC

Domino CMOS Implementation of Power Optimized and High Performance CLA adder

Heat sink. Insulator. µp Package. Heatsink is shown with parasitic coupling.

3D/SiP Advanced Packaging Symposium Session II: Wafer Level Integration & Processing April 29, 2008 Durham, NC

TCAM Core Design in 3D IC for Low Matchline Capacitance and Low Power

Using ICEM Model Expert to Predict TC1796 Conducted Emission

Interconnect/Via CONCORDIA VLSI DESIGN LAB

When Should You Apply 3D Planar EM Simulation?

Figure.1. Schematic of 4-bit CLA JCHPS Special Issue 9: June Page 101

Vishram S. Pandit, Intel Corporation (916) ]

High Speed Design Issues and Jitter Estimation Techniques. Jai Narayan Tripathi

Analysis and Reduction of On-Chip Inductance Effects in Power Supply Grids

On-Chip di/dt Detector Circuit

Signal Integrity Modeling and Measurement of TSV in 3D IC

Application of Generalized Scattering Matrix for Prediction of Power Supply Noise

3D IC-Package-Board Co-analysis using 3D EM Simulation for Mobile Applications

Sticks Diagram & Layout. Part II

Lecture #3 Microstrip lines

ALTHOUGH zero-if and low-if architectures have been

ECE 546 Lecture 20 Power Distribution Networks

Power Supply Networks: Analysis and Synthesis. What is Power Supply Noise?

Automotive PCB SI and PI analysis

10 COVER FEATURE CAD/EDA FOCUS

Substrate Level Noise Analysis Tool (SNAT) in Mixed Signal circuits

A 0.9 V Low-power 16-bit DSP Based on a Top-down Design Methodology

Reliable World Class Insights Your Silicon Valley Partner in Simulation ANSYS Sales, Consulting, Training & Support

ELEC Digital Logic Circuits Fall 2015 Delay and Power

Variation-Aware Design for Nanometer Generation LSI

A SIGNAL DRIVEN LARGE MOS-CAPACITOR CIRCUIT SIMULATOR

An Initial Case Study for BIRD95: Enhancing IBIS for SSO Power Integrity Simulation

EMC cases study. Antonio Ciccomancini Scogna, CST of America CST COMPUTER SIMULATION TECHNOLOGY

MP 4.3 Monolithic CMOS Distributed Amplifier and Oscillator

Cyclone III Simultaneous Switching Noise (SSN) Design Guidelines

Engineering the Power Delivery Network

Thank you for downloading one of our ANSYS whitepapers we hope you enjoy it.

Ensuring Signal and Power Integrity for High-Speed Digital Systems

Lecture Perspectives. Administrivia

Analysis of Laddering Wave in Double Layer Serpentine Delay Line

Homework 10 posted just for practice. Office hours next week, schedule TBD. HKN review today. Your feedback is important!

Course Outcome of M.Tech (VLSI Design)

EMT 251 Introduction to IC Design

Transcription:

DesignCon 2004 Chip-Level Physical Design Full Chip Signal and Power Integrity with Silicon Substrate Effect Norio Matsui Dileep Divekar Neven Orhanovic Applied Simulation Technology, Inc. 408-436-9070 {matsui, dileep, neven}@apsimtech.com Hiroshi Wabuka NEC Corporation, Jisso Research Laboratories 81-44-856-8427 h-wabuka@bl.jp.nec.com

Abstract This paper proposes a new method for analyzing signal and power integrity issues on LSI chips. This method can model a full chip power and ground grids considering the effects of transmission line and silicon substrate. A full chip layout data is divided into sections, then each section is modeled as SPICE transmission lines. N-port parameters of the each section are extracted by newly developed super linear solver. The extracted parameters are converted into compact SPICE frequency table. Using this method, the impedance of power/ground grids and dynamic IR drop for signal traces considering full power/ground grids are analyzed. Authors Biography Norio Matsui Norio Matsui holds a Ph. D. from Waseda University, Tokyo and was a researcher in NTT Labs for over 16 years. During this period he developed noise simulators integrated with PCB-CAD for Signal and Power Integrity as well as physical designs for high speed tele-switching systems. Apart from authoring numerous papers, he also lectured at Chiba University. He is currently President of Applied Simulation Technology and is actively involved in Power Integrity, Signal Integrity, and EMI/EMC solutions. Dileep Divekar Dileep Divekar obtained a B.S. in Electrical Engineering from Pune University, Pune, India and M.S. and Ph.D. in Electrical Engineering from Stanford University, Stanford, CA. He has worked in the areas of circuit simulation, semiconductor device modeling, static timing analysis and signal integrity. He is currently Vice President of Applied Simulation Technology. Neven Orhanovic Neven Orhanovic received his B.S. degree in Electrical Engineering from the University of Zagreb, Croatia and his M.S. and Ph. D. degrees in Electrical and Computer Engineering from Oregon State University, Corvallis. From 1992 until 1999, he was with Interconnectix and Mentor Graphics Corp. developing numerical methods and simulation software in the area of interconnect analysis and interconnect synthesis. He is currently with Applied Simulation Technology working mainly on fullwave analysis methods Hiroshi Wabuka Hiroshi Wabuka received the M.S. degree in electrical engineering from Himeji Institute of Technology in 1982. He joined the semiconductor group, NEC in 1982 and was responsible for CMOS VLSI circuit design. He is a principal researcher in Jisso research laboratory, NEC and his responsibility is LSI modeling for packaging design and EMC analysis.

1. Introduction With an increase in operating frequency and complexity of SOC, it becomes important to consider the power integrity (PI) as well as signal integrity (SI). Most SI approaches are focusing only on signal traces ignoring power and ground grids or assuming silicon substrate as a perfect conductor as shown in Figure. 1. However, the power and ground grids and a silicon substrate significantly affect the signal quality when the frequency is increased. There are two types of methods for evaluating power and ground noise as shown in Table 1. The first method is the frequency dependent impedance between power and ground grids which are modeled as transmission lines. It can be calculated by SPICE AC Analysis. Although this method does not directly treat waveforms, the noise voltage levels can be estimated by the obtained impedance multiplied by assumed currents at given frequencies. On the contrary, the second method can directly show waveforms considering the effect of nonlinear devices in time domain. The advantage of the first method is much faster than the second one. Key issues to realize the both analysis for real chips are how to handle such huge data of power and ground grids, because they are spreading a whole chip area. Power/Ground Grids Signal Traces (a) Power/Ground Grids and Signal metal insulator metal insulator substrat backside ground (b) Cross Section of LSI Figure 1. Typical structure of LSI chip.

Table 1. Two methods for evaluating power and ground noise. Frequency Domain (Linear Device) Time Domain (Nonlinear Device) Z V/I frequency Delta-I Noise V = L Fast/Approximatio di dt time SSO/SSN (Simultaneous Switching Output Noise) Power Bounce/Ground Bounce Slow/Accurate 2. Methodology 2.1 Model Order Reduction In order to analyze impedance and dynamic IR drop, it is necessary to make a full chip macro model for such huge power and ground grids with or without signal traces. It is well-known that a large size circuit can be compressed by converting a circuit model into N-port parameters which have less number of nodes. This is so called Model Order Reduction (MOR). However, this method has a problem when applying it to today s huge LSIs. With an increase in the number of the nodes of the final circuit model, it takes so long time to extract N-port parameters by conventional SPICE. To resolve this issue, we have developed a super linear solver (SLS). It can use memory effectively and drastically speed up. SLS consists of parser and solver. Figure 2 indicates no difference between ApsimSPICE and ApsimSLS. Table 2 compares the speed and memory between SPICE and SLS. SPI SLS Figure 2. Comparison of accuracy between ApsimSPICE and ApsimSLS.

Table 2. Comparison of CPU Time and Memory. Comparison with SPICE Circuit with ~ 14,000 nodes, 730,000 components Matrix size 21,000 x 21,000 Six frequency points Once we get N-port parameters, we must convert them into SPICE readable elements in frequency and time domains. This can be performed by fitting the rational function expansion (Figure 3) or frequency table model (Figure 4) [1]. Circuit simulator uses state variable approach and DFFT + Convolution for the rational function expansions and frequency table model, respectively. N-Port Network Function l k b k s 2 3 k = 0 b 0 + b 1 s + b 2 s + b 3 s + P ( s ) = = m 2 3 k a 0 + a 1 s + a 2 s + a 3 s + a s k = 0 k...... N-Port Rational Function a m d dt m m l d d d... a1 a0 y( t) bl... b1 b0 u( t) l dt dt dt + + + = + + + Figure 3. Fitting of Rational function in N-port conversion.

N-Port Network Function N-Port Frequency Table frequency real imag f1 P(f1)_r P(f1)_i f2 P(f2)_r P(f2)_i Figure 4. Frequency tables in N-port conversion. Electrically short structures should use rational function approximation. This enables us fast transient simulation. On the other hand, electrically long structures should use frequency tables. This is slower than rational function, but no need for frequency fitting. Figure 5 shows an example of the rational function for electrically short structure. Figure 6 compares the rational function and the frequency tables for electrically long structure. Electrically short structure Figure 5. Fitting in the rational function for electrically short structure.

Electrically long Rational function Frequency table Figure 6. Comparison between rational function and frequency table for electrically long structure. Ω Ω Figure 7. 2-port sub-circuit modeled by N-port fitting of rational function for transient analysis. The compression by the N-port parameter extraction by SLS and the conversion of N-port parameters into SPICE readable element may not be good enough for today s much bigger chips. In fact there is a practical limitation of hardware or its cost. Therefore, we have added a sectioning method which was developed for return path analysis for printed circuit boards [2]. The physical data of a chip is divided into number of sections. Each section is modeled by the combination of N-port extraction and conversion to SPICE readable element. This method enables us to use the multi processing for modeling all sections in parallel. This can be also repeated for the obtained some grouped sections. This expands our method to much larger chips.

2.2 Silicon Substrate Effect The effect of a silicon substrate on transmission properties was first analyzed in 1960 s [3], [4]. There are three dominant propagation modes which are determined by silicon resistivity, dielectric constant and frequency as shown in Figure 8 (a). For the slow wave mode, the silicon substrate approximately behaves as a conductor for electric field, but it behaves as an insulator for magnetic field shown in Figure 8 (b). We have implemented these three modes into 2D field solver RLGC[5], SPICE[6], [7] and special linear solver SLS as a new transmission line model. Figure 8 (c) shows per unit length model of the transmission lines. The models become matrix for multi-conductors. The power/ground grids and signal traces described in the section 2.1 are described in this model. Electric Field (Capacitance) Silicon Substrate Conductor Slow Wave Mode Silicon Substrate (ρ, ε) Magnetic Field (Inductance) Freq. Silicon Substrate Insulator Dielectric Quasi-TEM Mode Skin Effect Mode (b) Fields for Slow Wave Substrate Resistivity R L Cins (a) Three Modes Rsub Csub (c) RLGC (Matrix) per unit length of Transmission Figure 8. Three propagation modes of transmission lines over a silicon substrate. 2.3 Simulation Flow There are two targets in our simulation. The first one is to calculate frequency dependent input impedance between power and ground grids. The second one is to simulate dynamic IR drop in time domain for connecting nonlinear devices to the model. Figure 9 shows a fundamental simulation flow. The simulations starts with LSI-CAD data such as LEF (Library Exchange Format) and DEF (Design Exchange Format). LFE/DEF are converted into an intermediate proprietary format AAIF/AIF. Since the physical data AAIF/AIF is common to the printed circuit boards or IC packages, it is possible to simulate the mixing of LSI, IC package, and PCB layout data with common simulators. The AAIF/AIF data is converted into SPICE model by the 2D field solver with R, L, G, and C considering the effect of silicon substrate. Coupling levels can be defined for the horizontal and vertical distances in this conversion. If look up tables for such RLGC matrix are prepared, the SPICE model can be directly made from LEF/DEF files. There are two methods to make SPICE

model of the interconnections. One is to separate power/ground grids and signal traces. Although this model has no coupling between power/ground grids and signal traces, major interactions between power/ground and signals are considered at power feeding points of device models. The advantage of this method is to perform fast and stable simulation. The second method is that power/ground grids and signal traces are modeled considering coupling. This method is more accurate than the first one but slow. Once the interconnection model is made, an input impedance between power and ground at any give points can be calculated by SLS. For the dynamic IR drop analysis, N-port parameters at I/O pins and device connection points are also calculated by SLS. After getting the interconnection model, the signal waveforms and power/ground bounce in time domain can be simulated by SPICE. Since the model of the power and ground grids is compressed, the simulation time becomes very fast ever for connecting nonlinear device models to the N-port frequency table model. LEF/DEF, GDSII LEF/DEF2AAIF, GDS2AAIF AAIF AAIF to SPICE by Field Solver VDD/GND Transmission line networks Signal Transmission lines SLS Z/Y/S-parameters Compact SPICE Convesion Frequency Table Device Models SPICE Dynamic IR Drop Figure 9. Simulation Flow of Input Impedance and Dynamic IR Drop. Figure 10 shows a more complex simulation flow for much larger chips. A full chip structure is divided into sections. Each section of interconnection model can be modeled by using the procedures shown in figure 9. Each section can be simultaneously modeled by multi processor. The parallel processing makes speed up and treat larger chips. The obtained all the section models can be compressed again by using the same method of the N-port extraction and frequency table conversion. If the resultant model is still large, the same procedures can be repeated for groups which consist of number of section models. The input impedance between power and ground grids and the dynamic IR drop are also calculated by the similar procedures.

LEF/DEF, GDSII LEF/DEF2AAIF, GDS2AAIF AAIF Sectioning Substrate Effect 1 1 AAIF m m AAIF m n AAIF Inductance Effect AAIF to SPICE AAIF to SPICE AAIF to SPICE VDD/GND Transmission line Signal Transmission SLS Z/Y/S-parameters N-port to SPICE 1 1 FreqTabl m_m_freq m_n_freq Device Models SLS N-port to SPICE SPICE Impedance Dynamic IR Drop Figure 10. Simulation Flow for Input Impedance and Dynamic IR Drop for large Chips. 3. Example We applied the proposed method to a real LSI chip shown in Figure 11. It has three metal layers. GND Bonding Pads VDD Bonding Pads Figure 11. An example of LSI Chip.

3.1 Input Impedance between Power and Ground Grids Figure 12 shows an example of a short circuit impedance between power and ground girds. The red and purple curves indicate RLGC calculation by look up table and by field solver, respectively. Both curves are close. VDD Circuit 1 V = Z coupling short GND Circuit Figure 12. Short circuit impedance between power and ground grids. Figure 13 compares a conventional quasi TEM model assuming silicon substrate as a perfect ground metal with the slow wave mode of silicon substrate. At low frequency, the impedance of the conventional model is lower than that of the slow wave mode. This is because a signal trace is closer to the ground and the capacitance value is larger. The inductance effect also can be seen beyond 10GHz. V = Z VDD Circuit coupling GND Circuit Open Silicon Substrate : Conductor Silicon Substrate : Semiconductor Figure 13. Open circuit impedance between power and ground grids.

The input impedance shown in Figure 14 can be used for optimizing on chip capacitors to reduce simultaneous switching output noise (SSO) and common mode EMI. There are two types of implementation of on chip capacitors. One is within a cell, and the other is using special capacitor cells. With an increase in the on chip capacitors, the impedance at the low frequency will be decreased. With an increase in the number of parallel path of power/ground grids, the impedance at the high frequency will be reduced. However, it should be noted that these two increases cost and chip size. There are trade offs between the noise reduction and the cost. Figure 15 summarizes typical on chip capacitor strategies. There are two ways to place on chip capacitors. One is within a cell, and the other is using special capacitor cells. Usually capacitors are obtained by gate oxide layer of MOS transistors, because the value of MIM capacitors is much smaller. These strategies can be evaluated by analyzing the impedance between power/ground grids. Impedance Capacitive On Chip Capacitor Size/Cost/Delay Target Impedance Inductive More Parallel Path Layer/Cost Frequency Figure 14. How to reduce impedance between power and ground grids. Mixed with Logic Cell Special Capacitor Cell UseGateCapacitor Use Metal/Dielectric/Metal Capacitor Figure 15. On chip capacitor strategy.

3.2 Dynamic IR Drop To analyze waveforms along signal traces taking care of power/ground grids and silicon substrate in time domain, the N-port parameters were extracted by SLS. Then the parameters in frequency domain are converted into rational function or frequency table model in time domain analysis. Signal trace models and nonlinear transistor models are connected to the power/ground grid model, then signal waveforms and power/ground bounce are simulated as shown in Figure 16. Figure 17 shows dynamic IR drop along a clock net of chip layout shown in Figure 18. VDD Circuit Signal Traces coupling GND Circuit Figure 16. Signal and power and ground net simulation. VDD Circuit coupling GND Circuit Figure 17. Dynamic IR Drops for a clock net and a compressed full chip power/ground grid.

Figure 18. An example of clock nets and full chip power/ground grids. 4. Conclusion We have developed a new simulation method for the full chip level Signal and Power Integrity. The physical CAD layout data is converted into SPICE transmission line models taking care of substrate Effects. To compress the model size, the N-port parameters extraction by super linear solver, the frequency table conversion, and repeatable sectioning method have been introduced. Using this method, input impedance between power and ground grids and dynamic IR drop (power and ground bounce) are simulated. This method can be interfaced with LSI CAD data LEFDEF. The common intermediate format of the physical data enables us to use the same simulation tools from LSI, Package through PCB. We have also developed another CAD interface GDSII for arbitrary polygon shapes. This uses PEEC model instead of transmission line models. References [1] D. Divekar, R. Raghuram, and P. Wang, Automatic Generation of Spice Macromodels from N-port Parameters, 37 th IEEE Midwest Symposium on Circuits and Systems, Aug, 1994. [2] N. Matsui, S. Shintani, R. Raghuram, and N. Orhanovic, Return Path Analyzer Based on PEEC and Sectioning Methods, IEEE EMC Symposium, Aug. 2001. [3] R. Raghuram, D. Divekar, and P. Wang, Electrical Modeling and Simulation of Interconnects and Electronic Packaging, ISHM, pp.617-622, 1992. [4] H. Guckel, P. A. Brennan, and I, Palocz, A Parallel-Plate Waveguide Approach to Microminiaturize, Planar Transmission Lines for Integrated Circuits, IEEE Trans. MTT, Vol. 15, Aug. pp. 468-476, 1967. [5] H. Hasegawa, M. Furukawa, and H. Yanai, Properties of Microstrip Line on Si-SiO2 System, IEEE Trans. MTT, Vol. 19, Nov. pp. 869-881, 1971. [6] N. Orhanovic, V. K. Tripathi, and P. Wang, Time Domain Simulation of Uniform and Nonuniform Multi-Conductor Lossy Transmission Lines, IEEE MTT Symposium, 1191-1194, May 1990.