Semiconductor Technology Academic Research Center An RTL-to-GDS2 Design Methodology for Advanced System LSI

Similar documents
EE 434 ASIC and Digital Systems. Prof. Dae Hyun Kim School of Electrical Engineering and Computer Science Washington State University.

DATASHEET CADENCE QRC EXTRACTION

LSI Design Flow Development for Advanced Technology

Statistical Static Timing Analysis Technology

PROCESS-VOLTAGE-TEMPERATURE (PVT) VARIATIONS AND STATIC TIMING ANALYSIS

EDA Challenges for Low Power Design. Anand Iyer, Cadence Design Systems

Dr. Ralf Sommer. Munich, March 8th, 2006 COM BTS DAT DF AMF. Presenter Dept Titel presentation Date Page 1

Bridging the Gap between Dreams and Nano-Scale Reality

28nm and below: New Frontiers and Innovations in Design for Manufacturing. Vito Dai, Ph.D. Sr. Member of Technical Staff, DFM

Variation-Aware Design for Nanometer Generation LSI

Interconnect/Via CONCORDIA VLSI DESIGN LAB

ASICs Concept to Product

A 0.9 V Low-power 16-bit DSP Based on a Top-down Design Methodology

ICE of silicon. [Roza] Computational efficiency [MOPS/W] 3DTV. Intrinsic computational efficiency.

Lecture #2 Solving the Interconnect Problems in VLSI

Trends and Challenges in VLSI Technology Scaling Towards 100nm

A Survey of the Low Power Design Techniques at the Circuit Level

Fast Estimation and Mitigation of Substrate Noise in Early Design Stage for Large Mixed Signal SOCs Shi-Hao Chen, Hsiung-Kai Chen, Albert Li

Timing analysis can be done right after synthesis. But it can only be accurately done when layout is available

Interconnect-Power Dissipation in a Microprocessor

Reducing Transistor Variability For High Performance Low Power Chips

40nm Node CMOS Platform UX8

Power-Delivery Network in 3D ICs: Monolithic 3D vs. Skybridge 3D CMOS

LSI ON GLASS SUBSTRATES

EE241 - Spring 2004 Advanced Digital Integrated Circuits. Announcements. Borivoje Nikolic. Lecture 15 Low-Power Design: Supply Voltage Scaling

STM RH-ASIC capability

Characterization and Variation Modeling for 22FDX. Ning Jin Digital Design Methodology Team

Hot Topics and Cool Ideas in Scaled CMOS Analog Design

L15: VLSI Integration and Performance Transformations

Nanometer Technologies: Where Design and Manufacturing Converge. Walden C. Rhines CHAIRMAN & CEO

Low Power Radiation Tolerant CMOS Design using Commercial Fabrication Processes

Lecture 11: Clocking

EECS 427 Lecture 21: Design for Test (DFT) Reminders

INF3430 Clock and Synchronization

UNIT-II LOW POWER VLSI DESIGN APPROACHES

Announcements. Advanced Digital Integrated Circuits. Project proposals due today. Homework 1. Lecture 8: Gate delays,

Low Transistor Variability The Key to Energy Efficient ICs

Amber Path FX SPICE Accurate Statistical Timing for 40nm and Below Traditional Sign-Off Wastes 20% of the Timing Margin at 40nm

IFSIN. WEB PAGE Fall ://weble.upc.es/ifsin/

Low Power Design Methods: Design Flows and Kits

L15: VLSI Integration and Performance Transformations

Design Simulation and Analysis of NMOS Characteristics for Varying Oxide Thickness

Manufacturing Characterization for DFM

Advanced Digital Design

Lecture 1: Digital Systems and VLSI

Lecture 9: Clocking for High Performance Processors

CMOS VLSI IC Design. A decent understanding of all tasks required to design and fabricate a chip takes years of experience

On Chip Active Decoupling Capacitors for Supply Noise Reduction for Power Gating and Dynamic Dual Vdd Circuits in Digital VLSI

Fast Placement Optimization of Power Supply Pads

A Software Technique to Improve Yield of Processor Chips in Presence of Ultra-Leaky SRAM Cells Caused by Process Variation

Fixing Antenna Problem by Dynamic Diode Dropping and Jumper Insertion

Advanced Techniques for Using ARM's Power Management Kit

Process and Environmental Variation Impacts on ASIC Timing

MDLL & Slave Delay Line performance analysis using novel delay modeling

Geared Oscillator Project Final Design Review. Nick Edwards Richard Wright

Technology Timeline. Transistors ICs (General) SRAMs & DRAMs Microprocessors SPLDs CPLDs ASICs. FPGAs. The Design Warrior s Guide to.

Physical Design of Digital Integrated Circuits (EN0291 S40) Sherief Reda Division of Engineering, Brown University Fall 2006

Control Synthesis and Delay Sensor Deployment for Efficient ASV designs

Effect of Aging on Power Integrity of Digital Integrated Circuits

LSI and Circuit Technologies for the SX-8 Supercomputer

CS 6135 VLSI Physical Design Automation Fall 2003

Lecture 10. Circuit Pitfalls

Low Power VLSI Circuit Synthesis: Introduction and Course Outline

Co-Design Pain Points, Tooling Gaps, and Lessons from the Past

RTL Power Estimation Flow and Its Use in Power Optimization

Power and Energy. Courtesy of Dr. Daehyun Dr. Dr. Shmuel and Dr.

EE-382M-8 VLSI II. Early Design Planning: Back End. Mark McDermott. The University of Texas at Austin. EE 382M-8 VLSI-2 Page Foil # 1 1

ECE 5745 Complex Digital ASIC Design Topic 2: CMOS Devices

Signal Integrity Design of TSV-Based 3D IC

Mitigating Parameter Variation with Dynamic Fine-Grain Body Biasing *

Semiconductor Technology Academic Research Center copyright STARC,2004

Towards PVT-Tolerant Glitch-Free Operation in FPGAs

Ramon Canal NCD Master MIRI. NCD Master MIRI 1

Low-Power VLSI. Seong-Ook Jung VLSI SYSTEM LAB, YONSEI University School of Electrical & Electronic Engineering

Low Power System-On-Chip-Design Chapter 12: Physical Libraries

POWER GATING. Power-gating parameters

Timing Issues in FPGA Synchronous Circuit Design

UNIT-III POWER ESTIMATION AND ANALYSIS

Yield-driven Robust Iterative Circuit Optimization

I DDQ Current Testing

Chapter 1 Introduction to VLSI Testing

DIGITAL INTEGRATED CIRCUITS A DESIGN PERSPECTIVE 2 N D E D I T I O N

Disseny físic. Disseny en Standard Cells. Enric Pastor Rosa M. Badia Ramon Canal DM Tardor DM, Tardor

SUBSTRATE NOISE FULL-CHIP LEVEL ANALYSIS FLOW FROM EARLY DESIGN STAGES TILL TAPEOUT. Hagay Guterman, CSR Jerome Toublanc, Ansys

Leakage Power Minimization in Deep-Submicron CMOS circuits

Course Outcome of M.Tech (VLSI Design)

Gate Delay Estimation in STA under Dynamic Power Supply Noise

Sticks Diagram & Layout. Part II

Variation Aware Performance Analysis of Gain Cell Embedded DRAMs

The challenges of low power design Karen Yorav

Managing Cross-talk Noise

Advanced Digital Integrated Circuits. Lecture 2: Scaling Trends. Announcements. No office hour next Monday. Extra office hour Tuesday 2-3pm

Tiago Reimann Cliff Sze Ricardo Reis. Gate Sizing and Threshold Voltage Assignment for High Performance Microprocessor Designs

Lecture 4. The CMOS Inverter. DC Transfer Curve: Load line. DC Operation: Voltage Transfer Characteristic. Noise in Digital Integrated Circuits

Advanced In-Design Auto-Fixing Flow for Cell Abutment Pattern Matching Weakpoints

CHAPTER 6 DIGITAL CIRCUIT DESIGN USING SINGLE ELECTRON TRANSISTOR LOGIC

CMOS Process Variations: A Critical Operation Point Hypothesis

Exploring the Basics of AC Scan

Optical Microlithography XXVIII

Low Power Design. Prof. MacDonald

Transcription:

Semiconductor Technology Academic Research Center An RTL-to-GDS2 Design Methodology for Advanced System LSI Jan. 28. 2011 Nobuyuki Nishiguchi Semiconductor Technology Advanced Research Center (STARC) ASP-DAC 2011 Copyright(C) 2011 STARC All Rights Reserved.

Contributing to a Bigger Application Ecosystem What system LSI do we make? How do we design easily? Common Design Technology Infrastructure Design Methodology What design steps are required? What kind of data and design constraints must be created? What kind of design information must be verified? What kind of information must be passed to next design stage? How can manufacturability be assured at the design stage? How variations must be taken care? 1

Target System LSI and Design Technology 8MG/10Mbits/1GHz CORE Cache CPU-A CORE Cache 4MG/5Mbits/500M Hz CPU-B BUS Controller CORE Cache System Interconnect 10MG/50Mbits/125M Hz Modem 2G/2.5G 3G/3.5G 3.9G 4MG/250MHz Peripheral System Multimedia Processing Engine I2C GPIO Manager CLKGEN 2D/3DGraphics SDIF Camera Accelerator USB UART RSTC DSP AV-Codec GPS IrDA PMU Engine SIO Security WDT Display etc Timer Controller 5MG/10Mbits/125 etc MHz ANALOG 1MG/5Mbits/125 12MG/40Mbits/250MH PLL MHz z External Memory Controller AD/DA etc Target System LSI (44Mgates, 1GHz, 32nm) Design productivity Design and manufacturing aware integrated design flow Chip feasibility estimation technology Parallel and distributed processing Low power Power reduction technology DVFS Efficient Low Power implementation technology RTL power estimation and optimization Variation aware Variation tolerant clock Integrated variation aware analysis Power, Thermal, Noise, Cross talk, Stress/Litho/CMP analysis Manufacturing aware Integrated litho, manufacturing defect and dummy fill aware design technology Electrical DFM 2

Low Power Design 3

Increasing Power Consumption Large Scale and High Speed Increasing amounts of embedded memory Low Vth due to lower power supply voltages increasing dynamic and leakage power 4

Types of Low Power Design Techniques Various power reduction techniques exist, but they should be combined depending on the specification of the LSI Low Power Design Technology Main Power Component Which is Reduced Dynamic Power Leakage Power Low Voltage Multi VDD Power Control (DVFS) Switching Reduction Gated Clock Leakage Reduction Multi Vth VTCMOS (Substrate control) Power Gating MTCMOS (Power gating) Optimization of L Other Low Power Synthesis/P&R Pulsed latch The items in pink are areas which STARC has developed 5

What is DVFS? DVFS (Dynamic Voltage Frequency Scaling) is a way of reducing power consumption by in a specific block by pre-defining a number of combinations of Voltage and Speed which can selected according to the processing requirements. This functionality has to be embedded into the chip during the design stage. Mode Voltage (V) Speed (MHz) Perform ance Power VDD(V) 1.0 DVFS Operating condition Freq(MHz) 200 0 1.0 200 H H 0.9 100 1 0.9 100 M M 0.8 50 2 0.8 50 L L Mode 0 1 2 1 2 0 Performance L M L M L H Power L M L M L H 6

DVFS Implementation Multi Mode Logic Synthesis Multi mode Multi Corner Clock Synthesis Ring Style power switch placement Multi Mode Multi Corner Timing optimization Multi Mode 1.1V Clock Synthesis = voltage and clock path considered for each mode CLK LS Multi Corner = Considering multivoltage conditions 0.8~1.1V Delay value changes Non-DVFS Circuit Non-DVFS Circuit DVFS Ring Style Power Switch Placement enable Switch placed around Non-DVFS Circuit Non- DVFS Circuit Power Switched Area Switch Cell Multi-Mode Multi Corner Timing Optimization Optimization for 30 scenarios DVFS Operating modes : 5 Sign Off Corners : 6 Non-DVFS Circuit DVFS Circuit DVFS Test bench data 7

Multi power domain timing analysis Inter power domain (IPD) coefficient method reduced the number of combination for power domains CPU-A (Multi-Core) DVFS Mesh 1.1±0.1 1.1±0.1 1.1±0.1 CPU-B DVFS Mesh Multimedia Engine Middle Frequency Peripherals Low Frequency 1.1±0.1 1.0±0.1 0.9±0.05 1.0±0.1 1.0±0.1 0.9±0.05 0.9±0.05 1.0±0.1 0.9±0.05 System Interconnect Middle Frequency 1.0±0.1 1.0±0.1 1.0±0.1 Traditional Number of STA (3 power domain ) 9 8=72 9 8=72 9 8=72 IPD Number of STA (3 power domain ) 6 1 =6 6 1 =6 6 1 =6 n m c ( 2 1 ) m c 1.0±0.1 1.0±0.1 1.0±0.1 (Ex.) Mode (m)=6,corner (c)=9 6,Power domain (n) =3 1.1±0.1 1.0±0.1 0.9±0.05 1.0±0.1 9 8=72 1.0±0.1 1.0±0.1 0.9±0.05 1.0±0.1 9 8=72 0.9±0.05 1.0±0.1 0.9±0.05 1.0±0.1 9 8=72 An example for high-voltage min (hold check) analysis Inter power domain (IPD) coefficient STA 432 6 1 =6 6 1 =6 6 1 =6 36 The number of corner reduction will be explained in the next chapter Launch 2 1.5 1.5 CK 1 Launch 1.5 Domain A Domain B 1 1.2 1.5 1.6 1.6 Capture 8

Variation-aware Design and DFM 9

Variation and DFM Aware Design Manufacturing Effects Variation in Polygon Shapes Variation in circuit characteristics Particle Lithography CMP Planarity Via quality Transistor Shape Gate Length Gate Width TOX etc. Transistor Chars Vth Ion Ioff etc. Stress Doping impurity Rapid Thermal Annealing Random Telegraph Noise, etc. Wire Shape Wire width Wire thickness Wire spacing Via shape etc. Wire Chars Wire capacitance Wire resistance Via resistance Dielectric constants etc. Analysis Optimization Analysis Optimization Improve visible defects Improve Yield Improve invisible defects Add optimal margin to guarantee design Chip operating environment Operating Voltage Operating Temperature Power Supply noise On-Chip thermal distribution, etc. 10

Visible and Invisible Defects Visible Defects (e.g. litho hotspots) Invisible Defects (e.g. changes in delay due to STI stress Large influence on delay Necking Line end Optimize surrounding cell placement (Use low impact cells) Small influence on delay Bridging Via coverage Prevention (avoiding specific patterns) and routing optimization (fix wiring) -> eliminate litho hotspots Moving from visible defects to invisible defects Control delay variation -> improve timing convergence Electrical DFM 11

DFM-aware Design Results Defect Countermeasure Design Index Design Criteria Result Random Manufact -uring Increase Wire Width, Increase Wire Spacing Critical Area (CA) Via Add double vias Ratio of defective vias Reduce critical area Critical area 5.1% Improvement Ratio of double vias > 80% Double via ratio 88.45% Systematic Litho hotspot Litho Hotspot prevention Analysis fix Hotspot Error Count Litho hotspot correction example Before Hotspot Proportion of Criticality 1 hotspots fixed 100% Percentage of critical hotspots fixed 100% After Width expand 5nm wider and push down bottom edge on wire Move down 5nm 12

Electrical DFM Design Flow Goal Reduce design margin Shorten design turnaround time (same design margin Improve parametric yield loss) Transistor and and wire wire modeling Lithography // Etch Etch contour Stress Stress Litho/Etch aware Phase Litho Simulation Etch Simulation E-DFM Usage Model Contour-Base Contour-Base Leff/Weff Extract RC Extract Stress aware Phase Measurement (LOD/STIW etc) Convert (Vth0/U0 etc) Leff/Weff ΔVth0/ΔU0 Contour-Base RC Library Characterize Phase Characterize Tool ff tt ss Cell Cell characterization Cell Cell Library Library Chip Chip level level optimization Delay Delay calculation Cell Cell placement optimization E-DFMed Library Chip level Phase Chip/Block E-DFM Tool Litho/Etch Analysis (Leff/Weff, Contour-Base RC) Neighborhood Cell Analysis (Distance Placement Cell type) Without DFM Red dotted line With DFM Reducing design margins Reduce OCV by 22% SDF+ STA STAPhase Golden STA/SSTA STA Report Adjusted.lib 13

Variation Aware Design Technology Signoff Corner Reduction Scenario (Corner Count 9 6 4) Signoff Corners: Combined number of verification conditions needed to guarantee design. 14

Sign Off Corners and Design Margin To reduce the number of Signoff corners We should increase the Design margin (Design margin:guard band used at design time to ensure manufactured chip will work When the margin is large the design time overhead is high Need to reduce number of signoff corners without increasing design margin Solution: variation tolerant clocks and integrated variation aware design flow 15

Variation Aware Design Technology Variation Tolerant Robust Clocks Medium and low speed blocks Balance Tree High speed blocks Mesh Skew 150pS Slew 200pS 6 sign-off corners 16

Integrated Variation Aware Design Flow Floorplan phase P&R phase Sign-off phase Floorplan Average temperature Global routing SSTA timing margin estimation Hand-off check Timing margin Placement CTS Timing optimization LOCV base Routing Timing optimization SSTA base Hand-off check Power analysis Peak power vector IR drop analysis Timing analysis Sign-off check SSTA, Statistical cross talk RTL-VCD Power :Temperature variation :Transistor variation :IR drop variation Temperature comer SSTA hand-off, sign-off condition Timing corner(4), Uncertainty and OCV Corner and OCV for IR drop Library Statistical model for macro Hand-off and sign-off set up documents 17

Increasing Design Productivity 18

Relationship between Technologies and Results Shrinking Process Low Cost Business Profits Variation aware Design Technology Optimize variation through analysis of physical effects Manufacturing aware design technology Improved Yield Low Power Consumption Use variation aware design and optimize Design margin Signoff corners Low Power Design Technology Increased design complexity due to low power design Design technology to improve design productivity Increased design complexity due to manufacturing aware design High Design Productivity Low Power LSI Eco Society Low Cost Time to Market 19

Increase in TAT due to Scaling Number and types of blocks included on chip increasing Number of power and clock domains increasing => Floorplanning becomes more complex, number of iterations increase Large scale, high speed, shrinking process nodes => Complexity of P&R, Sign off Verification, DFM get higher and TAT longer 20

Design Methodology for Increased Productivity Integrated design flow 21

Summary Increasing complexity and lengthening design times Large Scale, High Speed, smaller feature size increase need for low power, variability and manufacturability aware design and in response to that design times are lengthening. Make design easier means identifying and using best design practices Low power design technologies Manufacturing aware and variability aware design Move from invisible defects to visible defects Sign off corners and design margins Reduce number of signoff corners Improve design productivity Develop and promote a good design methodology! 22

Thank you for your attention Acknowledgments This work was carried out as a part of the Next Generation Process Friendly Design Technology Development Project supported by the Ministry of Economy, Trade and Industry (METI) and the New Energy and Industrial Technology Development Organization (NEDO). 23