LUCEDA PHOTONICS DELIVERS A SILICON PHOTONICS IC SOLUTION IN TANNER L-EDIT

Similar documents
Index. Cambridge University Press Silicon Photonics Design Lukas Chrostowski and Michael Hochberg. Index.

An Example Design using the Analog Photonics Component Library. 3/21/2017 Benjamin Moss

A tunable Si CMOS photonic multiplexer/de-multiplexer

Si Photonics Technology Platform for High Speed Optical Interconnect. Peter De Dobbelaere 9/17/2012

Progress Towards Computer-Aided Design For Complex Photonic Integrated Circuits

MEMS JUMPSTART SERIES: CREATING AN OPTICAL SWITCH NICOLAS WILLIAMS, PRODUCT MARKETING MANAGER, MENTOR GRAPHICS

Foundry processes for silicon photonics. Pieter Dumon 7 April 2010 ECIO

Silicon Photonics Technology Platform To Advance The Development Of Optical Interconnects

Chapter 10 WDM concepts and components

CHAPTER 2 POLARIZATION SPLITTER- ROTATOR BASED ON A DOUBLE- ETCHED DIRECTIONAL COUPLER

GoToWebinar Housekeeping: attendee screen Lumerical Solutions, Inc.

Silicon Photonics Circuit Design: Methods, Tools and Challenges

Silicon nitride based TriPleX Photonic Integrated Circuits for sensing applications

NEXT GENERATION SILICON PHOTONICS FOR COMPUTING AND COMMUNICATION PHILIPPE ABSIL

- no emitters/amplifiers available. - complex process - no CMOS-compatible

Putting PICs in Products A Practical Guideline. Katarzyna Ławniczuk

Getting to Work with OpenPiton. Princeton University. OpenPit

Comparison of FMCW-LiDAR system with optical- and electricaldomain swept light sources toward self-driving mobility application

Lecture 4 INTEGRATED PHOTONICS

D6.3: Evaluation of the 2nd generation 2x2 PLATON optical interconnect router

Introduction and concepts Types of devices

PROGRAMMABLE PHOTONIC ICS:

HOW SMALL PCB DESIGN TEAMS CAN SOLVE HIGH-SPEED DESIGN CHALLENGES WITH DESIGN RULE CHECKING MENTOR GRAPHICS

Si Nano-Photonics Innovate Next Generation Network Systems and LSI Technologies

INTRODUCTION TO SILICON PHOTONICS CIRCUIT DESIGN

Module 16 : Integrated Optics I

UT90nHBD Hardened-by-Design (HBD) Standard Cell Data Sheet February

MICRO RING MODULATOR. Dae-hyun Kwon. High-speed circuits and Systems Laboratory

AWR. SIP Flow White Paper UNDERSTANDING AVAILABLE TOOLS FOR RF SYSTEM-IN-PACKAGE AND MULTI-CHIP-MODULE DESIGN AND OPTIMIZATION

and smart design tools Even though James Clerk Maxwell derived his famous set of equations around the year 1865,

UNIT - 7 WDM CONCEPTS AND COMPONENTS

OPTICAL NETWORKS. Building Blocks. A. Gençata İTÜ, Dept. Computer Engineering 2005

Light source approach for silicon photonics transceivers September Fiber to the Chip

Silicon Carrier-Depletion-Based Mach-Zehnder and Ring Modulators with Different Doping Patterns for Telecommunication and Optical Interconnect

Hybrid Integration Technology of Silicon Optical Waveguide and Electronic Circuit

Published in: Proceedings of the 20th Annual Symposium of the IEEE Photonics Benelux Chapter, November 2015, Brussels, Belgium

Active Device Utilities and Multi-Level Simulation An Overview

UNIT - 7 WDM CONCEPTS AND COMPONENTS

A 3.9 ns 8.9 mw 4 4 Silicon Photonic Switch Hybrid-Integrated with CMOS Driver

Contents Silicon Photonic Wire Waveguides: Fundamentals and Applications

Optical Fibers p. 1 Basic Concepts p. 1 Step-Index Fibers p. 2 Graded-Index Fibers p. 4 Design and Fabrication p. 6 Silica Fibers p.

Evaluation of Package Properties for RF BJTs

Silicon photonics on 3 and 12 μm thick SOI for optical interconnects Timo Aalto VTT Technical Research Centre of Finland

Silicon photonics with low loss and small polarization dependency. Timo Aalto VTT Technical Research Centre of Finland

Waveguide Bragg Gratings and Resonators LUMERICAL SOLUTIONS INC

Miniature Mid-Infrared Thermooptic Switch with Photonic Crystal Waveguide Based Silicon-on-Sapphire Mach Zehnder Interferometers

NEW APPROACH TO DESIGN DIGITALLY TUNABLE OPTICAL FILTER SYSTEM FOR WAVELENGTH SELEC- TIVE SWITCHING BASED OPTICAL NETWORKS

Numerical Analysis and Optimization of a Multi-Mode Interference Polarization Beam Splitter

APSUNY PDK: Overview and Future Trends

Electronically switchable Bragg gratings provide versatility

Compact two-mode (de)multiplexer based on symmetric Y-junction and Multimode interference waveguides

Digital Systems Design

Physics 464/564. Research Project: AWG Technology in DWDM System. By: Andre Y. Ma Date:

Module 19 : WDM Components

PERFORMANCE ENHANCEMENT OF OPTICAL MICRORING RESONATOR USING TAGUCHI METHOD EXPERIMENTAL DESIGN

Fibre Optic Sensors: basic principles and most common applications

Lecture: Integration of silicon photonics with electronics. Prepared by Jean-Marc FEDELI CEA-LETI

Silicon Photonics Transceivers for Hyper Scale Datacenters: Deployment and Roadmap

The Light at the End of the Wire. Dana Vantrease + HP Labs + Mikko Lipasti

DATASHEET CADENCE QRC EXTRACTION

Optical Polarization Filters and Splitters Based on Multimode Interference Structures using Silicon Waveguides

Integrated electro-optical waveguide based devices with liquid crystals on a silicon backplane

Design Rules for Silicon Photonic Packaging at Tyndall Institute

Mach Zehnder Interferometer True Time Delay Line

A NEW APPROACH TO DESIGN DIGITALLY TUNABLE OPTICAL FILTER SYSTEM FOR DWDM OPTICAL NETWORKS

A novel tunable diode laser using volume holographic gratings

Lecture 6 Fiber Optical Communication Lecture 6, Slide 1

Semiconductor Optical Communication Components and Devices Lecture 39: Optical Modulators

Georgia Tech. Greetings from. 3D Modeling and Process Design Kits for Flexible Hybrid Electronics (FHE) Challenges and Opportunities

PASSIVE COMPONENTS FOR DENSE OPTICAL INTEGRATION

Directional coupler (2 Students)

High bit-rate combined FSK/IM modulated optical signal generation by using GCSR tunable laser sources

FIBER OPTICS. Prof. R.K. Shevgaonkar. Department of Electrical Engineering. Indian Institute of Technology, Bombay. Lecture: 29.

AMACH Zehnder interferometer (MZI) based on the

Performance Analysis of SOA-MZI based All-Optical AND & XOR Gate

Design of Photonic Integrated Circuits

Tuning of Photonic Crystal Ring Resonators for Application in Analog to Digital Converter Systems

The Past, Present, and Future of Silicon Photonics

ISSCC 2006 / SESSION 13 / OPTICAL COMMUNICATION / 13.7

Modeling of ring resonators as optical Filters using MEEP

SUPPLEMENTARY INFORMATION

Application Note. Photonic Doppler Velocimetry

Figure 1 Basic waveguide structure

Front-To-Back MMIC Design Flow with ADS. Speed MMICs to market Save money and achieve high yield

Silicon Optical Modulator

Examination Optoelectronic Communication Technology. April 11, Name: Student ID number: OCT1 1: OCT 2: OCT 3: OCT 4: Total: Grade:

Technology, Jabalpur, India 1 2

White Paper Laser Sources For Optical Transceivers. Giacomo Losio ProLabs Head of Technology

Arbitrary Power Splitting Couplers Based on 3x3 Multimode Interference Structures for All-optical Computing

Lecture 9 External Modulators and Detectors

Integrated photonic circuit in silicon on insulator for Fourier domain optical coherence tomography

EPIC: The Convergence of Electronics & Photonics

Photonics and Optical Communication Spring 2005

Optical Communications and Networking 朱祖勍. Sept. 25, 2017

Using Sonnet EM Analysis with Cadence Virtuoso in RFIC Design. Sonnet Application Note: SAN-201B July 2011

Nanotechnology, the infrastructure, and IBM s research projects

WHITE PAPER. Programmable narrow-band filtering using the WaveShaper 1000S and WaveShaper 4000S. Abstract. 2. WaveShaper Optical Design

AWG OPTICAL DEMULTIPLEXERS: FROM DESIGN TO CHIP. D. Seyringer

IEEE JOURNAL OF SELECTED TOPICS IN QUANTUM ELECTRONICS 2010 Silicon Photonic Circuits: On-CMOS Integration, Fiber Optical Coupling, and Packaging

Principles of Optics for Engineers

Transcription:

LUCEDA PHOTONICS DELIVERS A SILICON PHOTONICS IC SOLUTION IN TANNER L-EDIT WIM BOGAERTS, PIETER DUMON, AND MARTIN FIERS, LUCEDA PHOTONICS JEFF MILLER, MENTOR GRAPHICS A M S D E S I G N & V E R I F I C A T I O N W H I T E P A P E R w w w. m e n t o r. c o m

WHAT IS SILICON PHOTONICS? Silicon photonics is an IC technology where data is transferred using light that is routed on the chip using optical waveguides (Figure 1). Silicon photonics is best known as a method to solve problems with high input/output bandwidth applications. For example, because of ever-growing bandwidth requirements in datacenters, the optical transmit and receive heads are being placed closer and closer to the board and the IC. But, designers also apply this technology to biosensors, medical diagnostics, and environmental monitoring. Regardless of the application, photonic ICs always need integration to electronic circuits and this results in unique challenges. A photonic integrated circuit (PIC) requires key functions: Generation of light: using lasers or LEDs either as an external light source, or increasingly, mounted on the IC or even densely integrated with the IC. Modulation of light: for switching or for modulating a data stream on the optical carrier. This is accomplished using the density of electrical carriers (electrons and holes) in the core of a waveguide to modulate the phase of the light, or by using electro-absorption to modulate the amplitude of the light. Splitting, coupling, and crossing: as light is routed, it is often required to split part of the optical power for monitoring, coupling on and off the IC, or to cross two waveguides. Detection of light: using a photodiode that responds to light intensity. Wavelength filtering: to filter out specific optical wavelengths or to combine wavelengths together in a single optical waveguide. Coupling to the system around the chip: using optical probe pads connected to optical fibers, boardlevel waveguides, or free-space optics. Figure 1: Components of a PIC arranged in a wavelength-division multiplexer link. 2

THE CHALLENGES OF PHOTONIC IC DESIGN There is a large gap between what the silicon photonic technology can accomplish and the functionality that designers can actually design and simulate. This gap is due to several key challenges: 1. Physical layout of the PIC. Photonic layout is very different from electronic layout. Photonic devices often contain curvilinear shapes to define smooth waveguide bends. These waveguides need to observe a minimum bend radius in order to avoid propagation losses. Photonic circuit layouts are typically on one layer and routing a complex circuit on a single layer is difficult and often not possible without crossing interconnections. Designers typically want to have full control over every detail of the layout, while at the same time desiring more automation. 2. Physical Verification of the PIC. Photonic designs typically need a special set of design rule checks (DRCs) that might not be easily implemented, due to the curvilinear paths of the waveguides. A big challenge is layout versus schematic (LVS) verification. Extracting functional behavior from a PIC layout is not easy without performing full electromagnetic simulations. Simply checking the interconnections of the design is difficult (Figure 2). Figure 2: (a) proper connection; (b) physical contact but no angular matching causes loss and reflection; (c) adjacent waveguides might be evanescently coupled without physical contact; (d) waveguides can cross but have little or no coupling. 3. The variability of the fabrication process. The high refractive index contrast of silicon photonics confines light in a submicron waveguide core. But, the effective refractive index depends on the actual geometry of a cross section. Nanometer-level variations on a critical dimension at 200nm have a significant influence on the optical behavior. Not only is it a challenge to efficiently simulate circuits that account for fabrication variability effects, but this variability is one of the reasons for the relative immaturity of the silicon photonics field. 4. The very custom nature of silicon photonic design. Today s foundry process design kits for silicon photonics typically contain 20-50 basic cells and the maturity level of the kits is not yet very high. In most IC designs taken beyond the first iteration, a large fraction of the basic cells are custom-designed, requiring extensive device simulation (electromagnetic, electro-optical, and thermal behavior). This will remain the case for the foreseeable future. Device technology computer aided design (TCAD) is therefore not only the realm of the fabs, but it is a major job in each design team. Therefore, the device TCAD must be efficiently coupled to the rest of the design flow. In addition, designers typically want keep full control over every detail rather than utilizing full automation. 5. The co-design of complex photonic circuits integrated with electronic circuits. The combined layout of photonic and electronic circuits poses challenges because the nature of the circuits is very different. Routing of the electrical circuitry must not affect the optical behavior and vice versa. A larger challenge is the co-simulation of photonic and electronic circuitry because the light waves are very high frequency 3

electromagnetic waves (300THz). Circuit simulation is typically performed using simulators that use very different formalisms than the SPICE simulators used for electronics. 6. Upscaling the design flow from academic to production grade ICs. A reliable design flow requires integration of photonic functionality addressing the preceding challenges in a production-ready environment. Layout, modeling, and functional test must be integrated not only in a single flow, but in an established electronic design environment that uses paradigms familiar to designers. THE IPKISS.EDA DESIGN FRAMEWORK SOLUTION Because PIC designers need full control of their design framework to ensure that the IC they fabricate exactly matches their design, and they need to conquer the challenges of photonic circuits, Luceda Photonics created the IPKISS.eda design framework (Figure 3). This framework is built on the Tanner L-Edit layout editor which seamlessly interfaces to the Tanner Calibre One physical verification suite. L-Edit s rich layout capabilities combined with the IPKISS library of parameterized photonic components and process development kits (PDKs) gives designers the ability to drag and drop photonic components into their layouts and immediately connect them through waveguides, while having full control over cross-section shapes, bends, and trajectories. Post-layout effects, such as reflections and attenuation caused by waveguide crossings, are taken into account through simulation. IPKISS.eda includes a compact model simulator CAPHE for the optical domain that can handle large optical circuits, including strongly non-linear devices and feedback. The actual layout of the circuit is accounted for by the device models and the tight coupling between the layout and model views. The design flow is enabled with DRC facilities to assure the quality of the design before submitting it to the fab. The designer has fine-grained control over every aspect of the design flow in IPKISS.eda through the Python scripting language. Figure 3: The IPKISS.eda PIC design flow. Photonic IC designers increasingly demand the same first-time-right design experience that electronic IC designers expect. Therefore, we integrated the trusted IPKISS Photonic IC design tool into L-Edit so that photonic IC designers can improve their design yield by combining expert PIC knowledge into a reliable electronics design flow. We choose to work with the Tanner team because of the excellent match of L-Edit capabilities to PIC design and their enthusiastic support for bringing innovative solutions to their tools, stated, Pieter Dumon, Chief Technology Officer of Luceda Photonics. 4

L-Edit supports the OpenAccess database standard managed by Si2, who states: The OpenAccess Database is the world s most widely used, open reference database for IC design, with a supporting standard API. It was developed to create authentic interoperability between EDA companies and semiconductor designers and manufacturers. Its adoption has improved design flow efficiency across the industry. The L-Edit integration with IPKISS.eda is accomplished using an OpenAccess plugin that translates IPKISS objects into OpenAccess objects. Through this plugin, the IPKISS.eda framework can instantiate parameterized cells (P-Cells) from an OpenAccess database. Designers transparently instantiate IPKISS P-Cells in L-Edit through the OpenAccess API which results in a one-to-one mapping between the cells and their parameters in L-Edit and IPKISS.eda. The framework also encodes the Layer Purpose Pairs in the OpenAccess database, so that they can be read by L-Edit. This plugin uses Si2 s oascript, which provides an interface between the Python scripting language and the OpenAccess Database. The IPKISS.eda framework includes custom L-Edit macros to provide photonics-specific functionality to design photonic circuits, such as routing and drawing waveguides. These macros use the L-Edit User-Programmable Interface (UPI) and the OpenAccess database directly. The UPI provides a powerful set of tools for automating, customizing, and extending L-Edit commands and functions. These custom macros are written in Python, so the framework embeds a Python interpreter in L-Edit. SAMPLE USE CASE The high-level steps of the solution are best conveyed using a sample use case: the design of a 2x2 optical crossbar switch design (Figure 4) that can scale up to a full NxN cross-connect matrix. There are four grating couplers for connecting the optical signals to fibers and to the bond pads for the electrical steering signals and ground. Figure 4: A 2x2 cross-connect switch architecture. The main building block for the design is a 1x2 thermo-optic Mach-Zehnder Interferometer (MZI) switch (Figure 5). The 1x2 splitter separates the optical signal into the two arms of the MZI. The heater in the arm is steered by electrical signals. The signals in the two arms are coupled into a 2x2 combiner in order to feed the next stage. 5

Figure 5: The thermo-optic MZI switch. In order to design this switch, the designer follows these steps: 1. Using L-Edit, the designer constructs the switch by dragging and dropping instances of the MZI switch from the library and then sets the parameters for the components. The library also contains pre-defined waveguide definitions, fiber couplers, filters, photonic crystals, I/O components, and containers for functionality such as fan-outs and optical terminations. 2. The designer connects the ports with flylines using the L-Edit All Angle Wire tool. 6

3. Next, the designer generates the waveguides which controls shapes and bends through an automatic routing solution, or the designer can choose to manually route. IPKISS.eda takes care of the proper alignment of optical ports that need to match down to the nanometer grid level. 7

4. Within L-Edit, the designer adjusts the waveguide paths and analyzes or introduces crossings. Because photonic circuits are typically implemented in a single routing layer, the immediate visual feedback on the circuit topology in the layout (for example, unwanted crossings) reduces both the design time and the potential errors. If necessary, the designer manually inserts a crossing component from the library and connects it to the corresponding switches. IPKISS.eda then generates new waveguides. 5. The designer then adds the bond pads and connects them using the L-Edit Orthogonal Wire tool. 6. Using the L-Edit interface to Tanner Calibre One nmdrc, the designer can easily verify the circuit for DRC violations against the rule deck provided by the foundry and interact with the results browser and the layout. However, the knowledge embedded in IPKISS.eda avoids many of the common DRC violations that typically arise from routing curvilinear shapes. 8

7. For functional verification, the designer can use the CAPHE optical circuit simulator in IPKISS.eda to verify how parameter changes to devices, the connections, or insertion of crossings affect the functional behavior. The CAPHE simulator builds and simulates optical circuits in frequency or time domain. Post-layout simulations require frequent interplay between the layout editor and the optical simulator and CAPHE has a tight integration with IPKISS. The designer can take advantage of this integration in novel ways. For example, the designer can build layouts that use circuit simulations to design themselves. For instance, the radius of a ring could be calculated using circuit simulations to match a certain optical resonance. Because of this integration, the parametric components can be made very smart and their intelligence is directly accessible from L-Edit. If necessary, IPKISS.eda can dive into the physical domain and launch electromagnetic simulations on individual cells in order to build a device model. CONCLUSION The IPKISS design framework enables a tight integration of the circuit simulation and layout design flow of photonic integrated circuits. Photonic IC designers are very comfortable starting the design at the layout level because design complexity arises from the diversity and complexity of the cells and their interconnection, not the number of cells. Because layout and specific implementation choices have a big impact on the circuit behavior, designers need the fine-grained control provided by IPKISS.eda. The integration of the framework with L-Edit facilitates the definition and reiteration of the connectivity of the physical layout, analyzing DRC results, and performing efficient post-layout functional verification all within the same production-ready design environment. 9

ABOUT LUCEDA PHOTONICS Luceda Photonics wants photonic IC engineers to enjoy the same first-time-right design experience as electronic IC designers. Luceda Photonics tools and services are rooted in over 50 years of experience in photonic integrated circuit (PIC) design. The team s expertise in the development of process design kits (PDK) and the design and validation of photonic integrated circuits is used by several research institutes and industrial R&D teams worldwide. For the latest product information, call us or visit: w w w. m e n t o r. c o m 2016 Mentor Graphics Corporation, all rights reserved. This document contains information that is proprietary to Mentor Graphics Corporation and may be duplicated in whole or in part by the original recipient for internal business purposes only, provided that this entire notice appears in all copies. In accepting this document, the recipient agrees to make every reasonable effort to prevent unauthorized use of this information. All trademarks mentioned in this document are the trademarks of their respective owners. MGC 8-16 TECH14620-w