WebHenry Web Based RLC interconnect tool

Similar documents
Signal Integrity for Gigascale SOC Design. Professor Lei He ECE Department University of Wisconsin, Madison

An Efficient Model for Frequency-Dependent On-Chip Inductance

Clocktree RLC Extraction with Efficient Inductance Modeling

Clocktree RLC Extraction with Efficient Inductance Modeling

On-Chip Inductance Modeling

Inductance 101: Analysis and Design Issues

On-Chip Inductance Modeling and Analysis

Analysis and Reduction of On-Chip Inductance Effects in Power Supply Grids

Worst Case RLC Noise with Timing Window Constraints

High-Speed Digital System Design Fall Semester. Naehyuck Chang Dept. of EECS/CSE Seoul National University

ASPDAC Tutorial: Power, Timing & Signal Integrity in SoC designs Section II

Chapter 4. Problems. 1 Chapter 4 Problem Set

Modeling of Coplanar Waveguide for Buffered Clock Tree

Non-Ideal Behavior of Components

Analytical Dynamic Time Delay Model of Strongly Coupled RLC Interconnect Lines Dependent on Switching

CROSS-COUPLING capacitance and inductance have. Performance Optimization of Critical Nets Through Active Shielding

if the conductance is set to zero, the equation can be written as following t 2 (4)

ECE 497 JS Lecture 16 Power Distribution

Quick On-Chip Self- and Mutual-Inductance Screen

Modeling the Effect of Wire Resistance in Deep Submicron Coupled Interconnects for Accurate Crosstalk Based Net Sorting

MDSI: Signal Integrity Interconnect Fault Modeling and Testing for SoCs

ECE 497 JS Lecture - 22 Timing & Signaling

Figure 1. Inductance

Effective On-chip Inductance Modeling for Multiple Signal Lines and Application on Repeater Insertion

Accurate Prediction of the Impact of On-chip Inductance on Interconnect Delay using Electrical and Physical Parameter-based RSF

BE. Electronic and Computer Engineering Final Year Project Report

Skin Effect: A Natural Phenomenon for Minimization of Ground Bounce in VLSI RC Interconnect Shilpi Lavania

The Evolution of Waveform Relaxation for Circuit and Electromagnetic Solvers

Signal integrity means clean

Step Response of RC Circuits

Relationship Between Signal Integrity and EMC

Deep Submicron Interconnect. 0.18um vs. 013um Interconnect

Course Introduction. Content: 19 pages 3 questions. Learning Time: 30 minutes

IEEE P802.3cg 10BASE-T1S MDI Parallel Inductance

APPLICATION NOTE 735 Layout Considerations for Non-Isolated DC-DC Converters

Fixing Antenna Problem by Dynamic Diode Dropping and Jumper Insertion

Two-Wire Shielded Cable Modeling for the Analysis of Conducted Transient Immunity

Effects of the Internal Layout on the Performance of IGBT Power Modules

Advanced Transmission Lines. Transmission Line 1

Units. In the following formulae all lengths are expressed in centimeters. The inductance calculated will be in micro-henries = 10-6 henry.

On Accurate Full-Chip Extraction and Optimization of TSV-to-TSV Coupling Elements in 3D ICs

Analysis of Laddering Wave in Double Layer Serpentine Delay Line

EM Noise Mitigation in Electronic Circuit Boards and Enclosures

Thank you for downloading one of our ANSYS whitepapers we hope you enjoy it.

Todd H. Hubing Michelin Professor of Vehicular Electronics Clemson University

Class-D Audio Power Amplifiers: PCB Layout For Audio Quality, EMC & Thermal Success (Home Entertainment Devices)

Accurate Models for Spiral Resonators

A High-level Signal Integrity Fault Model and Test Methodology for Long On-Chip Interconnections

Chapter 2-1 Transformers

PROGRAMMABLE ASIC INTERCONNECT

Research on Technology of Electromagnetic Protection for the Generator Control System

CS 6135 VLSI Physical Design Automation Fall 2003

Pulse Transmission and Cable Properties ================================

TECHNICAL REPORT: CVEL Parasitic Inductance Cancellation for Filtering to Chassis Ground Using Surface Mount Capacitors

Lecture #2 Solving the Interconnect Problems in VLSI

DesignCon Full Chip Signal and Power Integrity with Silicon Substrate Effect. Norio Matsui Dileep Divekar Neven Orhanovic

Full-chip Multilevel Routing for Power and Signal Integrity

THROUGH-SILICON-VIA (TSV) is a popular choice to

Experiment 2: Transients and Oscillations in RLC Circuits

ON-CHIP TECHNOLOGY INDEPENDENT 3-D MOD- ELS FOR MILLIMETER-WAVE TRANSMISSION LINES WITH BEND AND GAP DISCONTINUITY

Modeling and Simulation of Powertrains for Electric and Hybrid Vehicles

Synthesis of Optimal On-Chip Baluns

Timing Analysis of Discontinuous RC Interconnect Lines

Si-Interposer Collaboration in IC/PKG/SI. Eric Chen

Switching (AC) Characteristics of MOS Inverters. Prof. MacDonald

A Simplified QFN Package Characterization Technique

THE PROPAGATION OF PARTIAL DISCHARGE PULSES IN A HIGH VOLTAGE CABLE

An Enhanced Design Methodology for Resonant Clock. Trees

Taking the Mystery out of Signal Integrity

Efficient PEEC-based Inductance Extraction using Circuit-Aware Techniques

Substrate Coupling in RF Analog/Mixed Signal IC Design: A Review

3-2-1 Contact: An Experimental Approach to the Analysis of Contacts in 45 nm and Below. Rasit Onur Topaloglu, Ph.D.

An Equivalent Circuit Model for On-chip Inductors with Gradual Changed Structure

Chapter 11. Alternating Current

Lab 1: Basic RL and RC DC Circuits

AN4819 Application note

On Chip Active Decoupling Capacitors for Supply Noise Reduction for Power Gating and Dynamic Dual Vdd Circuits in Digital VLSI

Efficient Electromagnetic Analysis of Spiral Inductor Patterned Ground Shields

Design and optimization of integrated transmission lines on scaled CMOS technologies

Custom Interconnects Fuzz Button with Hardhat Test Socket/Interposer 1.00 mm pitch

High-Speed Circuit Board Signal Integrity

Microcircuit Electrical Issues

ST8016. Datasheet. 160 Output LCD Common/ Segment Driver IC. Version /05/25. Crystalfontz

On Accurate Full-Chip Extraction and Optimization of TSV-to-TSV Coupling Elements in 3D ICs

MICROWIND2 DSCH2 8. Converters /11/00

Physically-Based Distributed Models for Multi-Layer Ceramic Capacitors

Electrical Test Vehicle for High Density Fan-Out WLP for Mobile Application. Institute of Microelectronics 22 April 2014

Non-ideal Behavior of Electronic Components at High Frequencies and Associated Measurement Problems

Optimization of Power Dissipation and Skew Sensitivity in Clock Buffer Synthesis

CAD of Left-handed Transmission Line Bandpass Filters

Correlation Between Measured and Simulated Parameters of a Proposed Transfer Standard

Improving conducted EMI forecasting with accurate layout modeling

Demystifying Vias in High-Speed PCB Design

COMPACT MICROSTRIP BANDPASS FILTERS USING TRIPLE-MODE RESONATOR

CH 1. Large coil. Small coil. red. Function generator GND CH 2. black GND

Radio Frequency Electronics

Respin by Pin-Block Design and Floorplanning for Package-Board Codesign

Coil in the AC circuit

MULTIPLE metal layers are used for interconnect in

Speed Measurement Method for Digital Control System

Transcription:

WebHenry Web Based RLC interconnect tool http://eda.ece.wisc.edu/webhenry Project Leader: Prof Lei He Students : Min Xu, Karan Mehra EDA Lab (http://eda.ece.wisc.edu] ECE Dept., University of Wisconsin, Madison, WI 53706 Adapted from Min Xu s GLS 01 presentation

REFERENCES [1] L. He, N. Chang, S. Lin, and O. S. Nakagawa, "An Efficient Inductance Modeling for On-chip Interconnects", IEEE Custom Integrated Circuits Conference, May 1999. [2] N. Chang, S. Lin, L. He, O. S. Nakagawa, and W. Xie, "Clocktree RLC extraction with Efficient Inductance Modeling", IEEE/ACM Design Automation and Test in Europe, March 2000. [3] Min Xu and Lei He, "An efficient model for frequencybased on-chip inductance," Design Automation Conference, June. 2

Inductance for GHz Designs Interconnect impedance is more than resistance Z = R +jωl ω is decided not by the clock frequency, but by clock edge ω 1/tr On-chip inductance must be considered when ωl is comparable to R Inductive coupling is a long range effect 3

Resistance and Inductance L S W W L = 2000u, W = 0.8u, T = 2.0u, S = 0.8u 200 3.20E-09 Impedance 180 160 140 120 100 80 60 R wl Inductance(H) 3.10E-09 3.00E-09 2.90E-09 2.80E-09 2.70E-09 Self mutual 40 2.60E-09 20 2.50E-09 0 1.00E+08 1.00E+09 1.00E+10 1.00E+11 1.00E+08 1.00E+10 1.00E+12 1.00E+14 frequency (100M-100G) frequency (100M-100T)Hz Figure 1: R and wl L for a single long wire Figure 2: Ls and Lx for two parallel wires 4

Related Work Accurate but slow approach Numerical extraction (FastHenry: Kamon et. al.94 MTT) Too slow to be applied on whole chip level simulation and design iteration Fast but less accurate approach Table method for bus structure (He et. al. 99 CICC) Analytical methods for parallel wires (Gala et. al. 00 and Qi et. al. 00 ) Accurate enough for layout design and verification 5

Our Contributions Developed a table & formula driven extraction tool For arbitrary wires Accuracy: ±5% for most cases http://eda.ece.wisc.edu/webhenry/ Proposed the so called normalized circuit model to replace full RLC circuit Experimentally verified their equivalence Less complexity and shorter runtime: 11x speedup in simulation 6

Definition of Loop Inductance I i I j V i V j The loop inductance is L ij = µ 4π 1 a a i j 1 I I i j loop a i i loop a ij j j 1 di r i di j da i da j 7

Loop Inductance for N Traces Tw L Ts L Tw Tw Tw Ts Ts Ts R Tw R t L t 1 t 2 t 3 t R 1.73 1.15 0.53 1.15 1.94 1.24 0.53 1.24 1.92 Assume edge traces are grounded leads to 3x3 loop inductance matrix Inductance has a long range effect e.g., non-negligible coupling between t 1 and t 3 with t 2 between them 8

Table in Brute-Force Way is Expensive Tw L t L Ts L Tw Tw Tw Ts Ts t 1 t 2 t 3 Ts R Tw R Self inductance has nine dimensions: (n, length, location,tw L,Ts L,Tw,Ts,Tw R,Ts R ) Mutual inductance has ten dimensions: (n, length, location1, location2,tw L,Ts L,Tw,Ts,Tw R,Ts R ) Length is needed because inductance is not linearly scalable t R 1.73 1.15 0.53 1.15 1.94 1.24 0.53 1.24 1.92 9

Definition of Partial Inductance c i c j V i l i lj V j b i b j Partial inductance is the portion of loop inductance for a segment when its current returns via the infinity called partial element equivalent circuit (PEEC) model If current is uniform, the partial inductance is L ij = µ 4π 1 a a i j c i j b a i i c b a j j dl i r dl ij j da i da j 10

Partial Inductance for N Traces Tw L Ts L Tw Tw Tw Ts Ts Ts R Tw R tl t 1 t 2 t 3 t R 6.17 5.43 5.12 4.89 4.66 5.43 6.79 6.10 5.48 5.04 5.12 6.10 6.79 6.10 5.33 4.89 5.48 6.10 6.79 5.77 4.66 5.04 5.33 5.77 6.50 Treat edge traces same as inner traces lead to 5x5 partial inductance table Partial inductance model is more accurate compared to loop inductance model 11

Two Foundations By PEEC Definition, He et. al. (CICC 99) pointed out two foundations: Self inductance of a wire is solely depended on the wire itself Mutual inductance of two wires is solely depended on these two wires themselves 12

Table-based approach (He et. el. 99 CICC) Inductance table for parallel wires Self inductance table Length -- L Width -- W Thickness -- T Frequency -- F Mutual inductance table L, W, T, F Space -- S 13

Displaced parallel wires? Based on foundation for mutual inductance: Solve ten dimensional problem L1, L2, W1, W2, T1, T2, Sv, Sh, D, F Too big, too slow A formula is proposed to use only five dimensional tables 14

Formula for Lateral Dimension L ab = a b Mutual inductance + - - L m1 L m2 L m3 L m4 15

Formula for Cross-section Linear approximation T 2 w 2 s + s w 1 s 2 T 1 16

Accuracy WebHenry versus FastHenry 400 random displaced parallel wires cases 17

Error Distribution 5% most cases Bigger error only found in smaller inductance values 18

Inductance Circuit Modeling Full and normalized circuit model for nondisplaced parallel wires 19

Full RLC Circuit Model Linear RC number Quadratic L number, O(n 2 ) 20

Normalized RLC Circuit Model Again, linear RC number Linear L number too! 21

Full Versus Normalized Two waveforms are almost identical Running time: Full 99.0 seconds Normalized 9.1 seconds 22

Applications Simultaneous shield insertion and net ordering for signal integrity [He-Lepak, ISPD 00] [Lepak-et al, DAC 01] Interconnect analysis using decoupling model [Yin-He, ASP-DAC 01] Simultaneous signal and power routing [Ma-He, SLIP 01] 23

Conclusion A table-formula driven extraction method is proposed Very efficient Reasonably accurate Frequency dependent Two circuit models are studied Verified the normalized model is accurate and efficient 24

25

On-chip Inductance Wire impedance: Z = R + jϖl Copper interconnects makes R ϖ is proportional to signal rising time 1 GHz clock ϖ = 2π*10GHz Inductive coupling is a long range effect Partial inductance model is preferred. Let the circuit simulator to determine the signal return path 26

The PEEC Model Eliminate the current return path problem L (loop) I 1 I 2 L 1 I 3 K 13 K 12 K 23 L 2 I 1 I 2 I 4 Assume current return from infinite L 3 27