The End of Thresholds: Subwavelength Optical Linewidth Measurement Using the Flux-Area Technique

Similar documents
Performance data of a new 248 nm CD metrology tool proved on COG reticles and PSM s

Reducing Proximity Effects in Optical Lithography

Process Optimization

Copyright 2002 by the Society of Photo-Optical Instrumentation Engineers.

Photolithography I ( Part 1 )

Tutor43.doc; Version 8/15/03 T h e L i t h o g r a p h y E x p e r t (November 2003)

EUV Substrate and Blank Inspection

Effect of Reticle CD Uniformity on Wafer CD Uniformity in the Presence of Scattering Bar Optical Proximity Correction

Major Fabrication Steps in MOS Process Flow

Comparison of actinic and non-actinic inspection of programmed defect masks

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. The lithographic process

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. EE143 Ali Javey Slide 5-1

Part 5-1: Lithography

Mutually Optimizing Resolution Enhancement Techniques: Illumination, APSM, Assist Feature OPC, and Gray Bars

Section 2: Lithography. Jaeger Chapter 2. EE143 Ali Javey Slide 5-1

i- Line Photoresist Development: Replacement Evaluation of OiR

Optical Requirements

EE-527: MicroFabrication

Reticle defect size calibration using low voltage SEM and pattern recognition techniques for sub-200 nm defects

Optical Proximity Effects

Opto-Mechanical Equipment of KBTEM: Present Day and the Future

Applications of Maskless Lithography for the Production of Large Area Substrates Using the SF-100 ELITE. Jay Sasserath, PhD

Line End Shortening. T h e L i t h o g r a p h y E x p e r t (Spring 2000) Chris A. Mack, FINLE Technologies, Austin, Texas

Lithography. 3 rd. lecture: introduction. Prof. Yosi Shacham-Diamand. Fall 2004

OPC Rectification of Random Space Patterns in 193nm Lithography

Improving registration metrology by correlation methods based on alias-free image simulation

Microlens formation using heavily dyed photoresist in a single step

Copyright 2000, Society of Photo-Optical Instrumentation Engineers This paper was published in Optical Microlithography XIII, Volume 4000 and is made

Challenges of EUV masks and preliminary evaluation

Key Photolithographic Outputs

Copyright 1997 by the Society of Photo-Optical Instrumentation Engineers.

Pellicle dimensions for high NA photomasks

Photolithography Technology and Application

Copyright 1998 by the Society of Photo-Optical Instrumentation Engineers.

A Study of Wafer Plane Analysis with Mask MVM-SEM using 2D and 3D Images

Inspection of templates for imprint lithography

Optical Proximity Effects, part 3

Copyright 2000 by the Society of Photo-Optical Instrumentation Engineers.

TECHNOLOGY ROADMAP 2006 UPDATE LITHOGRAPHY FOR

Registration performance on EUV masks using high-resolution registration metrology

MICROBUMP LITHOGRAPHY FOR 3D STACKING APPLICATIONS

Recent Activities of the Actinic Mask Inspection using the EUV microscope at Center for EUVL

immersion optics Immersion Lithography with ASML HydroLith TWINSCAN System Modifications for Immersion Lithography by Bob Streefkerk

16nm with 193nm Immersion Lithography and Double Exposure

Lithography. Development of High-Quality Attenuated Phase-Shift Masks

LITHOGRAPHIC LENS TESTING: ANALYSIS OF MEASURED AERIAL IMAGES, INTERFEROMETRIC DATA AND PHOTORESIST MEASUREMENTS

A process for, and optical performance of, a low cost Wire Grid Polarizer

MICROCHIP MANUFACTURING by S. Wolf

1X Broadband Wafer Stepper for Bump and Wafer Level Chip Scale Packaging (CSP) Applications

UV Nanoimprint Stepper Technology: Status and Roadmap. S.V. Sreenivasan Sematech Litho Forum May 14 th, 2008

2. Pulsed Acoustic Microscopy and Picosecond Ultrasonics

Optical Microlithography XXVIII

450mm and Moore s Law Advanced Packaging Challenges and the Impact of 3D

Mirror-based pattern generation for maskless lithography

Near-field optical photomask repair with a femtosecond laser

INTERNATIONAL TECHNOLOGY ROADMAP SEMICONDUCTORS 2001 EDITION LITHOGRAPHY FOR

Copyright 2004 by the Society of Photo-Optical Instrumentation Engineers.

EUV Micro-Exposure Tool (MET) for Near-Term Development Using a High NA Projection System

What s So Hard About Lithography?

Progresses in NIL Template Fabrication Naoya Hayashi

Improving bar code quality

EE143 Fall 2016 Microfabrication Technologies. Lecture 3: Lithography Reading: Jaeger, Chap. 2

APPLICATION NOTE. Understanding the PV Specification. Introduction. Problems with PV

Results of Proof-of-Concept 50keV electron multi-beam Mask Exposure Tool (emet POC)

Optical design of a high resolution vision lens

Correcting Image Placement Errors Using Registration Control (RegC ) Technology In The Photomask Periphery

Using the Normalized Image Log-Slope, part 2

Advanced Packaging Lithography and Inspection Solutions for Next Generation FOWLP-FOPLP Processing

Optolith 2D Lithography Simulator

Lithography. Taking Sides to Optimize Wafer Surface Uniformity. Backside Inspection Applications In Lithography

Correlation of Wafer Backside Defects to Photolithography Hot Spots Using Advanced Macro Inspection

Sensors and Metrology - 2 Optical Microscopy and Overlay Measurements

PICO MASTER 200. UV direct laser writer for maskless lithography

Managing Within Budget

Lithographic Process Evaluation by CD-SEM

Lithography. International SEMATECH: A Focus on the Photomask Industry

Aerial image based mask defect detection in dense array structures

Optical Proximity Effects, part 2

Closed Loop Registration Control (RegC ) Using PROVE as the Data Source for the RegC Process

Semiconductor Manufacturing Technology. Semiconductor Manufacturing Technology. Photolithography: Resist Development and Advanced Lithography

2009 International Workshop on EUV Lithography

Difrotec Product & Services. Ultra high accuracy interferometry & custom optical solutions

Zeta-300 3D OPTICAL PROFILER

Zeta-20. Zeta3D OPTICAL PROFILER IMAGING THE IMPOSSIBLE

Actinic Review of EUV Masks: Performance Data and Status of the AIMS TM EUV System

Impact of 3-D Mask Effects on CD and Overlay over Image Field in Extreme Ultraviolet Lithography

450mm patterning out of darkness Backend Process Exposure Tool SOKUDO Lithography Breakfast Forum July 10, 2013 Doug Shelton Canon USA Inc.

Chapter 7. Optical Measurement and Interferometry

Copyright 2000 by the Society of Photo-Optical Instrumentation Engineers.

Computer Generated Holograms for Optical Testing

Lecture 5. Optical Lithography

1. INTRODUCTION ABSTRACT

PicoMaster 100. Unprecedented finesse in creating 3D micro structures. UV direct laser writer for maskless lithography

Mass transfer with elastomer stamps for microled displays.

Prepare Sample 3.1. Place Sample in Stage. Replace Probe (optional) Align Laser 3.2. Probe Approach 3.3. Optimize Feedback 3.4. Scan Sample 3.

Photolithography II ( Part 2 )

Lecture 7. Lithography and Pattern Transfer. Reading: Chapter 7

Immersion Lithography Defectivity Analysis at DUV Inspection Wavelength

Evaluation of laser-based active thermography for the inspection of optoelectronic devices

Large Field of View, High Spatial Resolution, Surface Measurements

Transcription:

The End of Thresholds: Subwavelength Optical Linewidth Measurement Using the Flux-Area Technique Peter Fiekowsky Automated Visual Inspection, Los Altos, California ABSTRACT The patented Flux-Area technique of metrology with optical images has been proven to provide accurate and repeatable measurements of defect sizes as small as 0.08 µ, and is in use in 12 leading edge mask shops and wafer fabs around the world. This paper describes the extension of this technique for linewidth measurement and the results of tests on photomasks, using lines as narrow as 0.25 µ. Linewidths were measured with SEM and optical images analyzed with the Flux-Area technique. Results show that the new technique provides linear measurements on even the smallest lines, using visible as well as UV illumination. This technique promises to allow mask makers to continue measuring their masks optically, even as linewidths shrink much smaller than the optical wavelengths used in the measurement. Further, this technique allows older visible light systems to be used for measuring even DUV masks. Finally, this technique does not require thresholds: it only requires an image and that the optical magnification (µ per pixel) be known. The technique simply measures the amount of light absorbed by a feature, the fundamental optical quality of any photomask feature. Keywords: CD, linewidth, mask inspection, metrology, measurement, photomask, flux-area 1. INTRODUCTION Linewidth measurement, commonly called critical dimension, or CD measurement, is critical in all phases of the microlithography process. CD measurements are used to monitor the mask patterning process as well as the lithography process onto the silicon. Besides monitoring the process, CD measurements are used to detect photomask defects which are likely to cause non-functioning silicon devices. Traditionally CDs have been measured optically, essentially measuring the distance between the two edges of a line in an image, and correcting the measurement for proximity effects (narrow lines and/or nearby edges). As silicon and mask geometries shrink below the wavelength of the optical microscopes, these conventional techniques for measuring linewidths have been losing favor because it becomes harder to define where the physical line edges are based on the image data. This paper discusses how the flux-area technique has been used to produce accurate CD measurements of subwavelength lines using optical microscope images. Flux-area measurements are compared to SEM measurements and the design size of the features. 2. FLUX-AREA MEASUREMENT The flux-area technique allows the measurement of features smaller than 1/5 λ (0.1µm with visible light), and provides accuracy and repeatability in the range of λ/100 (5 nm with visible light). Rather than edge to edge dimension, it measures optical area, which correlates to printability on the wafer. 1 The technique consists of integrating the total light flux that is blocked or transmitted by a feature, figures 1 and 2, converting that flux to square pixels, and then scaling pixels to microns. Figure 1. Intensity profile (inverted) across a 0.25µ line, showing regions used to calculate background.

Figure 2. Integration of feature flux minus background. In the flux-area technique the clear-chrome contrast around the region of interest is measured, giving the chrome contrast in digitizer levels (a constant times milliwatts per pixel). Next a region of interest is defined which includes the feature to be measured plus enough margin to include 99% of the blurred light. Then the local background intensity is determined and subtracted. The total flux from the feature (shaded area in figure 2) is integrated, and divided by the chrome contrast, Flux-Area = (Ixy- I bgrnd ) / (I clear - I chrome ) x, y where I xy is the intensity of a pixel in the region of interest, I bgrnd is the background intensity in the area of the feature, and the flux-area has units of square pixels. This area is converted into linear pixels, in a linewidth measurement, by dividing by the height of the region of interest, which is one pixel in the simplest case. When measuring two dimensional features, such as contact holes and defects, the area can be used as such, or converted to a linear dimension assuming that the feature is square (typical contact), or circular (typical defect). The images of small features are blurred, so most shape information in the image will be lost in noise. The final step is to scale pixels to microns, or square pixels to square microns. This scale calibration is usually performed by measuring the line pitch on a known plate. Because of the normalization to I clear and I chrome, the resultant measurement is of the effective size of the feature. The effective size is the size of a chrome (or clear) feature that absorbs or passes the same light as the measured feature. This has the advantage of normalizing measured features to the results of test prints, where similar features (lines, contacts, or defects) are made of standard thickness chrome. This means that measurements of real plates, which frequently include repair damage, thin chrome, thick chrome, and extraneous dirt, can be directly compared to specs derived from test prints. In other words, flux-area measurements by definition correct for the feature s opacity. 3. THEORETICAL RESOLUTION AND ACCURACY The minimum resolution provided by the flux-area technique is similar to other gray-level techniques, that is, the pixel resolution, in microns divided by the number of useful gray levels. The flux area technique measures the area, while providing the result as an equivalent diameter, so the final resolution is R = 1/(S * G * H) where R is the resolution in µ, S is the pixel scale in pixels/µ, G is the number of useful gray levels, and H is the height of the integration box in pixels. For a typical linewidth (0.5 µ wide, 20 pixels/µ scale, 200 gray levels) this gives a theoretical resolution of 0.01 nm (0.00001 µ). This is 100 times smaller than the noise in a typical measurement, about 1nm. Thus moderate changes (by factors of 2 to 8) in the scale factor or number of digitizer levels have no significant effect on measurement repeatability or accuracy. There are four factors that affect the theoretical accuracy of flux-area measurement: 1) measuring the chrome contrast: in dense images there may be no uniform areas in which to measure I clear or I chrome, so values from previous images must be used. Depending on the consistency of the illumination and camera levels this contributes error of 0.2% to 2%. 2) Digitizer linearity: the video image may be gamma corrected to improve contrast, where gamma correction is a non-linear (exponential) function applied to pixel intensities. Depending on the image source this contributes 0-3% error, 3) Integration area: in theory the integration area must be infinite in order to integrate all the light from the feature. In practice the integration area is extended one λ from each edge, which includes more than 99% of the flux, and the 1% error can be easily corrected, 4) scale calibration: using pitch measurements. This is easily determined to one part in one thousand. However, if the microscope has no autofocus mechanism, focus errors can cause scale changes due to variable object-lens distance. Therefore, with a modern optical system with good illumination and focus control accuracy of 1% can be expected, with deviations mainly due to illumination non-uniformity (over position and/or time). 2

Factors that affect other techniques but not flux-area accuracy include: 1) Non linearity when measuring small features: flux-area measurements have been shown to be linear at least to λ/5, while inteference between the images of nearby edges makes edge-to-edge measurements of small features very difficult. 2) Non-uniformity of the substrate or chrome, such as from repair damage. This affects edge measurements unpredictably, so that conventional CD measurements of repairs is only somewhat predictive of printability, while flux-area measurements should closely match stepper output. 4. FLUX-AREA VS EDGE-TO-EDGE MEASUREMENT CD metrology developers have been concerned with determining where an optical or image edge is, and how that edge corresponds to reality. 2 In photomask metrology what we want to know is how much light will get to the photoresist when the mask is in the stepper. For a given stepper, lithography process, and a uniform isolated line this is predicted by the width of the line. The width of the line has traditionally been defined as the distance between its edges. This is easy to measure and interpret while the chrome is thick and opaque, and the width of the line is greater than the edge blurring. However current masks use thin chrome (phase-shift masks), and feature sizes that approach the imaging wavelength. Flux-area measurement directly measures the flux passing through the line (whether it is a clear or dark line). Thus there is no need to determine where the line edges are. Further, if the line is more or less opaque than the standard chrome contrast for that mask, the measured thickness (effective size) gives the width of a chrome (or clear) line of standard opacity that would absorb the same light as the tested line (effective size). Although the line edges are not detected or measured, the line position can still be computed accurately as the centroid of the light distribution across the observed line. SEM measurement of edge-to-edge size is widely promoted as the best measurement method now, however it suffers from the same problems as optical edge-to-edge measurement: 1) interpretation of the actual edge location is tricky because the edge thickness is significant compared to the line or feature width, and light does penetrate thin chrome, so a non-linear function should optimally be used to determine the edge point of 50% light transmission, 2) SEM measurements do not measure opacity, so chrome thickness errors, or repair damage cannot be accurately assessed. Finally, because SEM images are taken with much higher resolution than the stepper produces on a wafer, edge roughness can be expected to affect SEM measurements differently than it affects stepper output. 5. DENSE LINE MEASUREMENT Although this paper focuses on isolated line measurement, dense line measurement is of interest as well. Flux-area measurement lends itself to several approaches to dense line measurement. First, in the simple case of a regular line grid, the total (or average) transmission can be measured across one or more full cycles. The ratio of clear CD to pitch is calculated as the ratio of average intensity to the chrome contrast, CD clear = Pitch * (I average -I chrome ) / (I clear -I chrome ). This method was tested against SEM measurements, with excellent results, see figure 5. In another method, the adjacent line widths and positions can be estimated, and the adjacent lines subtracted from the image, and finally, one can use optical proximity corrections similar to those used in edge-to-edge measurements. 6. DATA Measurements were taken from a test mask supplied by Photronics. Isolated dark and clear lines, and dense line grids from 0.25 µ to 0.75 µ (line pitches from 0.5 µ to 1.5 µ) were measured on a KLA SEMSpec system by ETEC, and optical images were taken from a KLA353uv reticle inspection system (λ=0.36 µ) by Photronics, Allen TX, and analyzed by the author using the AVI Photomask Metrology System. Some care was taken to perform both measurements at the same position, however that could not be assured, so the optical measurements were performed as averages over an eight micron region. The pixel scale was calibrated by measuring the pitch of the line grids. The scale factor, 22.18 pixels per micron, varied by 2 parts in 1000 across the eight grid images measured, from 0.8 µ to 1.5µ pitch. In the 10 images with isolated lines, where I clear and I chrome could be accurately measured, the intensity range was observed to vary by a maximum of 1 part in 300. On the dense line images, integrated flux was measured and used to calculate the area ratio of clear to dark lines. That data was compared to SEM measurements to determine a gamma intensity correction of 2.1 for the KLA 353uv images. Then the isolated clear and dark lines were measured as described above. 3

The SEM measurement values are averages of two measurements. The RMS difference between the pairs of SEM data in all 3 data sets was 4 nm. 25 Isolated Dark Line CD Deviation from Design: AVI and SEM Measurements Measured - Design (nm) 20 15 10 5 0-5 -10-15 -20-25 AVI-Design SEM-Design 0 50 100 150 200 250 300 350 400 450 500 550 600 650 700 750 800 Design Size (nm) Figure 3. Isolated dark line CD measurements with the AVI Flux-Area technique compared to SEM. Figure 3 shows flux-area measurements and SEM measurements as deviations from the design size of the lines. The rms deviation of AVI and SEM measurements of dark line CDs from the design size are equal, approximately 4 nm. Two SEM measurements were made of each line, and the rms deviation between SEM measurements was also approximately 4 nm. 0 Isolated Clear Line CD Deviation from Design: AVI and SEM Measurements Measured - Design (nm) -10-20 -30-40 -50-60 -70-80 -90 AVI-Design SEM-Design 0 50 100 150 200 250 300 350 400 450 500 550 600 650 700 750 800 Design Size (nm) Figure 4. Isolated clear line CD measurements with the AVI Flux-Area technique compared to SEM. Figure 4 shows that clear line CD measurements with the AVI Flux-Area technique average 11 nm larger than SEM measurements of the same lines. However, after eliminating that bias, the AVI measurements rms deviation from SEM measurements is 4 nm. Note that clear lines smaller than 350 nm did not print. It is suspected that the 11 nm offset is due to the definition of the edge positions in the SEM data. 4

Measured - Design (nm) 0-10 -20-30 -40-50 -60-70 -80-90 AVI-Design SEM-Design Dense Clear Line CD Deviation from Design: AVI and SEM Measurements 0 50 100 150 200 250 300 350 400 450 500 550 600 650 700 750 800 Design Size, pitch/2 (nm) Figure 5. Dense clear line CD measurements with the AVI Flux-Area technique. In figure 5 CD values were calculated from the total transmission through clear & dark. Values average 0.1 nm larger than SEM measurements of the same lines. The AVI measurements rms deviation from SEM measurements is 4 nm. Line grids with pitch smaller than 700 nm (linewidths less than 350 nm) did not print on the mask. Overall, the rms deviation of the flux-area measurements from the SEM was 4 nm, with the exception of the isolated dark line data, which had an 11 nm offset, with 4 nm deviation. This is consistent with the flux-area measurements being as accurate as the SEM data, which had a 3 nm deviation from its mean. Short term repeatability measurements were made on the AVI taking images from a KLA301. Thirty sequential measurements of a 0.90 µ line yielded a 1 σ variation of 1.2 nm. It is suspected that the source of the variation is vibration of the image during the scanning, causing significant variation in apparent feature size. 7. CONCLUSIONS Optical linewidth measurements from the AVI Photomask Metrology System are shown to be accurate to 5 nm on lines down to 0.25 µ. Figure 4 shows that the flux-area measurements match the SEM measurements, and show no signs of non-linearity as the line width gets smaller than the imaging wavelength (0.36 µ in this data). No non-linear corrections are required. No correction for the imaging wavelength is required, although the flux integration area is normally set to approximately one wavelength larger than the feature being measured. No thresholds are required. By measuring flux instead of edge position, the need to define an edge is eliminated. Flux-Area Measurement can be performed with optical images from any source. Although the data shown here was taken from a laser-scanned inspection system, similar measurements of defect sizing have been performed on a wide range optical microscopes. The primary constraints are that the image should be from transmission, since we are trying to duplicate the effects seen in a stepper. Reflective optics can be used in some cases where the image appears similar to a transmission image, although the repeatability is worse because different materials and forms may reflect differently. Flux-Area Measurement can be used to perform linewidth, defect, and contact sizing. The standard photomask metrology requirements can be performed with high accuracy and repeatability using the flux-area technique as implemented on the AVI Photomask Metrology System. 5

ACKNOWLEDGMENTS Darren Taylor, Photronics, Allen TX, provided test masks and acquired images from the KLA353uv Joe Johnson & Eric Hsu, Etec, Hayward, CA, offered advice, and performed the SEM measurements. REFERENCES 1. P. Fiekowsky, Defect printability measurement on the KLA-351: Correlation to defect sizing using the AVI Metrology System, 19 th Annual BACUS Symposium on Photomask Technology and Management, 1999. 2. N. Doe, R. Eandi, Optical Proximity Effects in Sub-micron Photomask CD Metrology, Photomask Europa, 1999. Correspondence for Peter Fiekowsky: Email: peter@aviphotomask.com; WWW:www.aviphotomask.com; Phone 650-941-6871; Fax 650-941-4821; Automated Visual Inspection, 952 S. Springer Road, Los Altos, CA 94024 6