Thermal Monitoring on FPGAs Using Ring-Oscillators

Similar documents
RING OSCILLATORS AS THERMAL SENSORS IN FPGAS: EXPERIMENTS IN LOW VOLTAGE

PE713 FPGA Based System Design

CHAPTER III THE FPGA IMPLEMENTATION OF PULSE WIDTH MODULATION

IMPLEMENTATION OF QALU BASED SPWM CONTROLLER THROUGH FPGA. This Chapter presents an implementation of area efficient SPWM

UNIT-II LOW POWER VLSI DESIGN APPROACHES

Overheat protection circuit for high frequency processors

Rapid prototyping of a Self-Timed ALU with FPGAs

POWER GATING. Power-gating parameters

Digital Controller Chip Set for Isolated DC Power Supplies

Reference. Wayne Wolf, FPGA-Based System Design Pearson Education, N Krishna Prakash,, Amrita School of Engineering

DESIGNING powerful and versatile computing systems is

PROCESS-VOLTAGE-TEMPERATURE (PVT) VARIATIONS AND STATIC TIMING ANALYSIS

Characterizing non-ideal Impacts of Reconfigurable Hardware Workloads on Ring Oscillator-based Thermometers

FPGA Based System Design

A10-Gb/slow-power adaptive continuous-time linear equalizer using asynchronous under-sampling histogram

Lecture 11: Clocking

EFFICIENT FPGA IMPLEMENTATION OF 2 ND ORDER DIGITAL CONTROLLERS USING MATLAB/SIMULINK

A Survey of the Low Power Design Techniques at the Circuit Level

LSI Design Flow Development for Advanced Technology

Silicon-Gate Switching Functions Optimize Data Acquisition Front Ends

Chapter 1 Introduction

A-B NODES CLASSIFICATION FOR POWER ESTIMATION. Elías Todorovich and Eduardo Boemo *

Real Time Hot Spot Detection Using FPGA

Study of Power Consumption for High-Performance Reconfigurable Computing Architectures. A Master s Thesis. Brian F. Veale

Lecture 3, Handouts Page 1. Introduction. EECE 353: Digital Systems Design Lecture 3: Digital Design Flows, Simulation Techniques.

DIGITAL INTEGRATED CIRCUITS A DESIGN PERSPECTIVE 2 N D E D I T I O N

EC 1354-Principles of VLSI Design

Yet, many signal processing systems require both digital and analog circuits. To enable

A Novel Approach For Designing A Low Power Parallel Prefix Adders

DESIGN OF MULTIPLYING DELAY LOCKED LOOP FOR DIFFERENT MULTIPLYING FACTORS

AUTOMATIC IMPLEMENTATION OF FIR FILTERS ON FIELD PROGRAMMABLE GATE ARRAYS

R Using the Virtex Delay-Locked Loop

A PROCESS AND TEMPERATURE COMPENSATED RING OSCILLATOR

Fine-Grained Characterization of Process Variation in FPGAs

Evaluation of Power Costs in Applying TMR to FPGA Designs

ADAPTIVE THERMOREGULATION FOR APPLICATIONS ON RECONFIGURABLE DEVICES. Phillip H. Jones, James Moscola, Young H. Cho, John W.

Low Power Design of Successive Approximation Registers

EE141-Spring 2007 Digital Integrated Circuits

PROGRAMMABLE ASICs. Antifuse SRAM EPROM

A Cell-Based Design Methodology for Synthesizable RF/Analog Circuits

Lecture Perspectives. Administrivia

PV SYSTEM BASED FPGA: ANALYSIS OF POWER CONSUMPTION IN XILINX XPOWER TOOL

Embedded System Hardware - Reconfigurable Hardware -

Shielding. Fig. 6.1: Using a Steel Paint Can

Programmable Interconnect. CPE/EE 428, CPE 528: Session #13. Actel Programmable Interconnect. Actel Programmable Interconnect

Lecture 30. Perspectives. Digital Integrated Circuits Perspectives

International Journal of Scientific & Engineering Research, Volume 4, Issue 6, June ISSN

CHAPTER 4 GALS ARCHITECTURE

EECS150 - Digital Design Lecture 28 Course Wrap Up. Recap 1

Development of a Radiation Tolerant 2.0 V standard cell library using a commercial deep submicron CMOS technology for the LHC experiments.

High Speed Digital Systems Require Advanced Probing Techniques for Logic Analyzer Debug

Digital Design and System Implementation. Overview of Physical Implementations

Analysis of Parallel Prefix Adders

Fractional- N PLL with 90 Phase Shift Lock and Active Switched- Capacitor Loop Filter

Design and synthesis of FPGA for speed control of induction motor

An Optimal Design of Ring Oscillator and Differential LC using 45 nm CMOS Technology

A fast programmable frequency divider with a wide dividing-ratio range and 50% duty-cycle

A digital phase corrector with a duty cycle detector and transmitter for a Quad Data Rate I/O scheme

A Digital Clock Multiplier for Globally Asynchronous Locally Synchronous Designs

ALTHOUGH zero-if and low-if architectures have been

EE 434 ASIC & Digital Systems

12/31/11 Analog to Digital Converter Noise Testing Final Report Page 1 of 10

Design and Estimation of delay, power and area for Parallel prefix adders

A New Capacitive Sensing Circuit using Modified Charge Transfer Scheme

DFT for Testing High-Performance Pipelined Circuits with Slow-Speed Testers

QUATERNARY LOGIC LOOK UP TABLE FOR CMOS CIRCUITS

UNIT-III POWER ESTIMATION AND ANALYSIS

Low Power System-On-Chip-Design Chapter 12: Physical Libraries

Mitigating impacts of workload variation on ring oscillator-based thermometers.

Single Chip FPGA Based Realization of Arbitrary Waveform Generator using Rademacher and Walsh Functions

CONTACTLESS THERMAL CHARACTERIZATION METHOD OF PCB-S USING AN IR SENSOR ARRAY

DATASHEET SMT172. Features and Highlights. Application. Introduction

Computer-Based Project on VLSI Design Co 3/7

Topics. Low Power Techniques. Based on Penn State CSE477 Lecture Notes 2002 M.J. Irwin and adapted from Digital Integrated Circuits 2002 J.

ISSN: [Pandey * et al., 6(9): September, 2017] Impact Factor: 4.116

USER S MANUAL PULSE INPUTS MODULE WITH PROVER SUPPORT

Power Consumption and Management for LatticeECP3 Devices

Online Monitoring for Automotive Sub-systems Using

2.5D & 3D Package Signal Integrity A Paradigm Shift

An Optimized Design for Parallel MAC based on Radix-4 MBA

INF3430 Clock and Synchronization

Lecture 9: Clocking for High Performance Processors

Ruixing Yang

Lecture #2 Solving the Interconnect Problems in VLSI

Effect of Aging on Power Integrity of Digital Integrated Circuits

Statistical Static Timing Analysis Technology

Development of a 20 GS/s Sampling Chip in 130nm CMOS Technology

LSI and Circuit Technologies of the SX-9

Systolic modular VLSI Architecture for Multi-Model Neural Network Implementation +

Hardware Implementation of BCH Error-Correcting Codes on a FPGA

I/O Design EE141. Announcements. EE141-Fall 2006 Digital Integrated Circuits. Class Material. Pads + ESD Protection.

Towards PVT-Tolerant Glitch-Free Operation in FPGAs

2009 Spring CS211 Digital Systems & Lab 1 CHAPTER 3: TECHNOLOGY (PART 2)

Wave Pipelined Circuit with Self Tuning for Clock Skew and Clock Period Using BIST Approach

Globally Asynchronous Locally Synchronous (GALS) Microprogrammed Parallel FIR Filter

Design and Characterization of a Three-Phase Multichip SiC JFET Module

AN OPTIMIZED SPECIFIC MOSFET FOR TELECOMMUNICATION AND DATACOMMUNICATION APPLICATIONS

SIGNED PIPELINED MULTIPLIER USING HIGH SPEED COMPRESSORS

Low-Cost Power Sources Meet Advanced ADC and VCO Characterization Requirements

Thermal Characterization and Optimization in Platform FPGAs

Transcription:

Thermal Monitoring on FPGAs Using Ring-Oscillators Eduardo Boemo and Sergio López-Buedo Lab. de Microelectrónica, E.T.S. Informática, U. Autónoma de Madrid, Ctra. Colmenar Km.15, 28049, Madrid - España. e-mail: eduardo.boemo@ii.uam.es Abstract. In this paper, a temperature-to-frequency transducer suitable for thermal monitoring on FPGAs is presented. The dependence between delay and temperature is used to produce a frequency drift on a ring-oscillator. Different sensors have been constructed and characterized using XC4000 and XC3000 chips, obtaining typical sensibilities of 50 khz per C. In addition, the utility of the Xilinx OSC4 cell as thermal transducer has been demonstrated. Although a complete temperature verification system requires a control unit with a frequency counter, the use of ring-oscillators presents several advantages: minimum FPGA elements are required; no analog parts exists; the additional hardware needed (multiplexers, prescaler, etc.) can be constructed using the resources of an FPGA, the thermal-related signals can be routed employing the standard interconnection network of the board, and finally, the sensors can be dynamically inserted or eliminated. 1 Introduction Lower operating temperature on CMOS devices reduces the intrinsic delay and interconnection resistance. It also produces important reliability improvements, considering that electromigration and other failure effects rise exponentially with the temperature [1]. In the area of FPGAs, the gate density and speed of recent devices have appended thermal considerations to the traditional design trade-offs. Applications that make intensive use of chip resources at high speed can dissipate beyond current packaging limits. Miniature heat sinks and fans originally developed for the high-end microprocessor market are becoming familiar in the area of fast-prototyping. The thermal considerations presented above results enlarged on FPGA-based systems like custom computers (FCCMs) and logic emulators. Their exhaustive utilization of dynamic reconfiguration increases the risk of configuration errors and signal contention. These situations may cause a significant increment of temperature and can produce a permanent chip damage. Moreover, like occurs on a single FPGA, the consumption associated to a given machine configuration is a priori unknown; thus, the particular features of an implementation (fine-grain pipelined datapaths, heavily loaded buses, etc.) can produce an unforeseen power overhead. Consequently, Presentad at the FPL97 (Seventh International Workshop on Field Programmable Logic And Applications), London, Sept 97. Published in Lecture Notes in Computer Science, Nº 1304, pp.69-78, Berlin: Springer- Verlag, 1997. Available at www.ii.uam.es/~ivan

the implementation of a thermal monitoring unit allows several failures in FCCMs to be detected. For example, this strategy has been adopted in the XMOD board [2]: an 8-bit CPU examine the both the temperature and current at each FPGA. Considering that the processing tasks on a multiple-fpga board are performed in several chips, the detection of hot-spots requires to sense the temperature in each FPGA that composes the system. However, if the number of chips is relatively high, it is difficult to use discrete thermal transducers, as is common on current PC boards. Thermocouples or integrated sensors require both extra wiring and hardware that must be immune to the influence of the high-frequency signals usually present on the board. Moreover, the designer must also pay attention to topics beyond the scope of the fastprototyping area, like sensor positioning, thermal coupling, or analog instrumentation. The implementation of on-chip thermal transducers allows the designer to avoid the inconveniences described above. Main techniques to construct temperature sensors on CMOS technology make use of analog effects like the temperature dependence of the junction forward voltage, or the Seebeck effect [3]. Although these ideas can be useful to FPGA architects, they appear inadequate to the end-users of commercial chips. In this paper, this limitation is overcome by using ring oscillators as temperature transducers. This type of circuits can be easily implemented using few FPGA elements. The advantages of this approach are multiple: a. Like other on-chip sensors, the junction temperature instead of the package one is measured. b. All signals are digital; thus, they can be routed using the general interconnection network of the board. c. The sensor itself is small: practical circuits make use of one or two logic blocks, and a minimum-size sensor can be fitted in just an I/O block. d. The hardware needed to centralize the thermal status of the machine (basically a multiplexer and a prescaler counter to reduce the frequency) can be mapped in the FPGA, meanwhile the remaining low-speed tasks can be performed by the host or using a low-cost microcontroller. e. A sensor or even an array of them can be placed in virtually in any position of the chip, making possible to construct a thermal map of the die. f. The sensor can be dynamically inserted or eliminated. Several researchers have proposed the use of on-chip thermal transducers. In [4], ring oscillators are used to measure both the temperature and power supply fluctuations. The oscillator is activated during a fixed period, and a counter with an scan path is used to read back the resulting frequency. In [5], an approach based on a thermalfeedback oscillator have been developed, whose main advantage is the small dependence between frequency and power supply fluctuations. At PCB level, a thermal monitoring method based on the measurement of a copper trace resistance has been proposed in [6]. In a different context, the use of thermal testing to detect gate oxide short failures have been proposed in [7].

Table 1. Ring-oscillators constructive characteristics Test Circuit Chain of inverters Wiring s1 and s5 Three inverters. Mapped in two CLBs. General interconnection. Long delays. s2 and s6 Three inverters. Mapped in two CLBs. General interconnection. Short delays. s3 Three inverters. Mapped in two CLBs. Three long-lines plus one direct-line. s4 and s7 One inverter. Mapped in a IOB output buffer. General interconnection. Short delays. OSC4 Internal cell. General interconnection. Table 2. Ring-oscillators features Test Circuit s1 and s5 s2 and s6 s3 s4 and s7 OSC4 Experiment goals Medium-size, low-frequency sensor. Compact-size, medium-frequency sensor. Long-line based sensor. Suitable for clocking a synchronous counters without using the dedicated clock lines. Minimum-size. Worst-case sensor (maximum allowable frequency). XC4000 internal 5-frequency clock-signal generator cell Test Circuit Net delays (Xdelay tool) Table 3. Ring-oscillators timing characteristics Combinatorial delays (Xdelay tool) Chip sample s1 50.7 ns 22 ns (four LUTs) XC3030PC84-125 s2 14.4 ns 22 ns (four LUTs) XC3030PC84-125 s3 17.9 ns 22 ns (four LUTs) XC3030PC84-125 s4 12 ns 8 ns (one obuf + one ibuf) XC3030PC84-125 s5 47.8 ns 24 ns (four LUTs) XC4005PC84-6 s6 20.1 ns 24 ns (four LUTs) XC4005PC84-6 s7 10.8 ns 9 ns (one obuf + one ibuf) XC4005PC84-6

2 Ring-oscillators on FPGAs A ring-oscillator basically consists on a feedback loop that includes an odd number of inverters (Fig.1). Thus, the necessary phase shifting to start the oscillation is produced. The oscillation period is twice the sum of the delays of all elements that compose the loop. Fig. 1. A ring-oscillator scheme f out enable Ring-oscillators can be mapped on FPGAs using the look-up tables or the programmable inverters included on the I/O blocks. Considering that different interconnection elements can be inserted in the loop, the number of possible implementations are extremely large. In order to restrict the experiments, in this work just four different circuits, called s1, s2, s3 and s4, were characterized in the XC3000 family, and three circuits versions (s5, s6 and s7) where selected for the XC4000 family. In addition, the thermal response of the 8-MHz output of the built-in clock signal generator OSC4 [8] was measured. Main circuit features are summarized in Tables 1, 2 and 3. An external control signal was ANDed with the loop in all CLB-based test circuits s1, s2, s3, s5 and s6, in order to allow the oscillators to be stopped. As a consequence, although these circuits have three inverters, their loops include four LUT delays. In the IOB-based oscillators s4 and s7, the loop was opened by using the 3-state control of the output buffer. These IOB versions were constructed to analyze the performance of the minimum allowable sensor size. All circuits were placed in the chip border in order to minimize the wiring capacitance between the oscillators and the corresponding output pads. As example, the layouts of the XC4000 oscillators s5, s6, s7 and osc4 are depicted in Fig.7 3 Experimental Results The frequency-to-temperature response of each sensor was obtained by introducing each FPGA in a temperature-controlled oven. An Iron-Copper/Nickel (Iron-Constantan) thermocouple probe was placed in the center of the package, and

was fixed to it with a heat conductive silver epoxy. An study about mechanical details of thermal sensors can be found in [9]. Fig. 2. Output frecuency vs. Temperature. XC3090-125 CLB-based oscillators s1, s2 and s3 24 22 20 18 16 14 12 10 8 s1 27 khz/ C s2 54 khz/ C s3 50 khz/ C 6 10 20 30 40 50 60 70 80 90 100 110 temperature ( C) Fig. 3. Output frecuency vs. Temperature. XC4005-6 CLB-based oscillators s5, s6 and OSC4 cell 20 18 16 14 12 10 8 6 4 s6 37 khz/ C s5 22 khz/ C osc4 15 khz/ C 10 20 30 40 50 60 70 80 90 100 110 temperature ( C)

Fig. 4. Output frecuency vs. Temperature. XC4005-6 and XC3090-125 IOB-based oscillators s4 and s7 46 44 42 40 38 36 s7 92 khz/ C s4 118 khz/ C 34 10 20 30 40 50 60 70 80 90 100 110 temperature ( C) A long ribbon cables (near 0.8 meters) were utilized to carry both output and control signals outside the oven. In order to prevent an excessive sensor power consumption due to these high off-chip loads, a driver 74HC125 was inserted to isolate the FPGA from the cables. Each FPGA was configured with all oscillators versions, but just one was enabled during the short period of time necessary to accomplish the frequency measurement. After that, the corresponding circuit was stopped again in order to maintain uniform the chip temperature. It allowed the error produced by self-heating to be minimized. An x-t curve tracer was utilized to verify the thermal equilibrium in the system after each temperature step. The error in the temperature measurement was maintained near 1 C. In Figs. 2, 3 and 4, the main experimental results are shown. All sensors exhibit a quite linear dependence with the temperature in the normal range of operation. The temperature sensitivity (in percentage per C) also is very similar for all circuits. However, the IOB-based circuits, s4 and s7, present a high frequency oscillation, over 40 MHz, and should be discarded for practical applications. The CLB-based sensors have relatively high speed (between 10 and 20 MHz), although their frequencies can be easily managed by a low-cost microcontroller if a prescaler is used. For example, a popular 68HC11 can be employed for counting if all these frequencies are previously divided by ten. The best results corresponded to the built-in OSC4 cell. This oscillator, not only runs at lower speed and do not make use of extra FPGA resources, but also exhibits a small sensitivity to power supply fluctuations. The use of this cell as thermal transducer have not be reported in the manufacturer data books.

The power supply dependence of all sensors resulted linear in the operation range. This is depicted in Fig.5 for the XC 4005-6 oscillators. Thus, errors caused by power supply fluctuations can be corrected if the voltage of the board also is monitored. However, the sensibility was smaller for the OSC4 cell, as is depicted in Table 4. In addition, was observed that sensors whose loop delay is mainly caused by wiring are slightly less susceptible to power supply fluctuations. Fig. 5. Output frecuency vs. power supply voltage. XC4005-6 oscillators s5, s6 and OSC4 cell. 20 18 16 14 12 10 8 6 4 2 @ Ta = 20 C s6 2.8 khz/mv s5 1.48 khz/mv osc4 0.17 KHz/mV 0 4,4 4,6 4,8 5,0 5,2 5,4 5,6 power supply voltage (V) An alternative method for the temperature calibration of a given sensor can be carriedout if the approximate CMOS delay coefficient given by the manufacturer is utilized. This value is situated between 0.3 % per C [10], and 0.35 % per C [11]. In this way, the designer must first to construct a particular oscillator, and then to measure its output frequency at a known room temperature. After that, the remaining pairs (T,f) can be calculated by applying the delay coefficient to the measured point. Two examples of this method are shown in Fig.6. Table 4: Output frequency reduction at Vcc=4.5 V Test circuit Frequency reduction at Vcc=4.5V in relation to normal operation osc4-1.8 % s1-7.3 % s5-7.8 % s2-7.9 % s3-8.1 % s6-8.7 %

Fig. 6. Measured (square points) and predicted oscillation frequencies (lines) vs. temperature using the CMOS delay coefficient. Circuits s1 and OSC4. Output frequency at room temperature as reference point. 10,5 10,0 9,5 9,0 8,5 0.35 % per C s1 0.3 % per C 8,0 10 20 30 40 50 60 70 80 90 100 110 temperature ( C) 5,6 5,4 5,2 5,0 4,8 4,6 4,4 0.35 % per C osc4 0.30 % per C 4,2 10 20 30 40 50 60 70 80 90 100 110 temperature ( C) 4 Conclusions A group of experiments to demonstrate the feasibility of on-chip temperature transducers based on ring-oscillators have been presented. The proposed circuits allow the junction temperature of an FPGA to be easily measured. All prototypes analyzed showed a linear response with the temperature. Although two methods for sensor calibration have been described, they can be simplified if the goal is just to detect a peak power value. In that case, the adjustment can be done in terms of power consumption, by measuring both chip input current and sensor output frequency during the normal operation of a given application. Thus, the

correct thermal status of the machine can be described by a range of expected frequency values in each FPGA. Best results in frequency range, resource occupation, and power supply sensitivity corresponded to the built-in XC4000 oscillator. However, the main disadvantage of this circuit is their fixed position in a corner of the chip. On the contrary, CLB-based ring oscillators can be situated in virtually any position. The combination of temperature transducers and FPGAs could be also a powerful tool for researchers interested in thermal aspects of integrated circuits and packaging. Just the possibility of moving a sensor (or an array of them) from one point of the die to other, in a simple, fast and inexpensive way, is almost unthinkable in any other VLSI technology. Future work will include a comparative study of die thermal maps using ringoscillator sensors and an IR microscope. Fig. 7. Layout of the s5 (top. left), s6 (bottom, left), s7 (bottom, right) and osc4 (top, right) oscillators (shaded areas represent used resources of the FPGA)

Acknowledges This work has been supported by the CICYT of Spain under contract TIC95-0159. The authors wish to thank Javier Garrido for his valuable contribution during the setup of the experiments. References 1. Bakoglu, H., "Circuits, Interconnections, and Packing for VLSI", Reading, Massachussets: Addison-Wesley Publishing Co. 1992 2. Giga Operations Corp., XMOD Features, 1997. 3 Wolffenbuttel, R., (ed.), Silicon Sensors and Circuits. On-chip compatibility, London: Chapman & Hall, 1996. 4. G. Quenot, N. Paris and B. Zavidovique, A temperature and voltage measurement cell for VLSI circuits, Proc. 1991 EURO ASIC Conf., pp-334-338, IEEE Press, 1991. 5. V. Székely, Cs. Márta, M. Rencz, Z. Benedek and B. Courtois, Design for thermal testability (DfTT) and CMOS realization, submitted to Sensors and Actuators, Special Issue on Therminic Workshop. 6. W. Sjursen, Sense PCB Thermal Equilibrium, Electronic Design, pp.115-116, March 18, 1996. 7. Altet, J. and Rubio, A., Dynamic Thermal Testing of Integrated Circuits, Proc. DCIS 96, pp.537-541, Barcelona: Universitat Politècnica de Catalunya, 1996. 8. Xilinx Inc., Xact Libraries Guide, pp. 3-388, April 1994. 9. Steele, J., Get Maximum Accuracy from Temperature Sensors, Electronic Design, pp. 99-110, August, 1996. 10. Xilinx Inc., Programmable Logic Breakthrough 95. Technical Conference and Seminar Series, pp.6-11, 1995. 11. Xilinx Inc., Trading Off Among the Three Ps: Power, Package & Performance, XCELL, Nº22, 1996.