Results of Proof-of-Concept 50keV electron multi-beam Mask Exposure Tool (emet POC)

Similar documents
Multi-Beam activity from the 1980s. Apr 18, 2013 Panel Discussion Photomask Japan 2013

Electron Multi-Beam Technology for Mask and Wafer Direct Write. Elmar Platzgummer IMS Nanofabrication AG

PML2 Projection. Lithography. The mask-less electron multi-beam solution for the 22nm node and beyond. IMS Nanofabrication AG

IMAGINE: an open consortium to boost maskless lithography take off First assessment results on MAPPER technology

Introduction of ADVANTEST EB Lithography System

Imaging across the world. Hiroshi Matsumoto, Munehiro Ogasawara and Kiyoshi Hattori April 18 th, 2013

Status and Challenges for Multibeam DW lithography. L. PAIN CEA - LETI Silicon Technology Department

Progresses in NIL Template Fabrication Naoya Hayashi

Multi-beam mask writer MBM-1000 for advanced mask making

MAPPER: High throughput Maskless Lithography

Copyright 2002 by the Society of Photo-Optical Instrumentation Engineers.

Electron Beam Lithography. Adam Ramm

Copyright 1998 by the Society of Photo-Optical Instrumentation Engineers.

Copyright 2000 by the Society of Photo-Optical Instrumentation Engineers.

16nm with 193nm Immersion Lithography and Double Exposure

Applications for Mask-less E-Beam Lithography between R&D and Manufacturing

MICROBUMP LITHOGRAPHY FOR 3D STACKING APPLICATIONS

Development of Nanoimprint Mold Using JBX-9300FS

Micro- and Nano-Technology... for Optics

Photomask. emet POC: Realization of a proof-ofconcept 50 kev electron multibeam Mask Exposure Tool N E W S. Take A Look Inside:

(Complementary E-Beam Lithography)

Registration performance on EUV masks using high-resolution registration metrology

Scope and Limit of Lithography to the End of Moore s Law

Part 5-1: Lithography

EG2605 Undergraduate Research Opportunities Program. Large Scale Nano Fabrication via Proton Lithography Using Metallic Stencils

Mask Technology Development in Extreme-Ultraviolet Lithography

5 th Annual ebeam Initiative Luncheon SPIE February 26, Aki Fujimura CEO D2S, Inc. Managing Company Sponsor ebeam Initiative

The End of Thresholds: Subwavelength Optical Linewidth Measurement Using the Flux-Area Technique

COMPARISON OF ULTIMATE RESOLUTION ACHIEVED BY E-BEAM WRITERS WITH SHAPED BEAM AND WITH GAUSSIAN BEAM

Mirror-based pattern generation for maskless lithography

Analysis of Focus Errors in Lithography using Phase-Shift Monitors

Optical Lithography. Here Is Why. Burn J. Lin SPIE PRESS. Bellingham, Washington USA

EE-527: MicroFabrication

Design Rules for Silicon Photonics Prototyping

Inspection of templates for imprint lithography

Correcting Image Placement Errors Using Registration Control (RegC ) Technology In The Photomask Periphery

Corporate Introduction of CRESTEC CORPORATION Expert in E-Beam Nanofabrication

Challenges of EUV masks and preliminary evaluation

Zone-plate-array lithography using synchrotron radiation

Project Staff: Feng Zhang, Prof. Jianfeng Dai (Lanzhou Univ. of Tech.), Prof. Todd Hasting (Univ. Kentucky), Prof. Henry I. Smith

Reducing Proximity Effects in Optical Lithography

REBL: design progress toward 16 nm half-pitch maskless projection electron beam lithography

Comprehensive Simulation of E-beam Lithography Processes Using PROLITH/3D and TEMPTATION Software Tools

Tutor43.doc; Version 8/15/03 T h e L i t h o g r a p h y E x p e r t (November 2003)

Major Fabrication Steps in MOS Process Flow

Copyright 2000, Society of Photo-Optical Instrumentation Engineers This paper was published in Optical Microlithography XIII, Volume 4000 and is made

Immersion Lithography: New Opportunities for Semiconductor Manufacturing

Sub-12nm Optical Lithography with 4x Pitch Division and SMO-Lite

450mm and Moore s Law Advanced Packaging Challenges and the Impact of 3D

Section 2: Lithography. Jaeger Chapter 2. EE143 Ali Javey Slide 5-1

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. The lithographic process

TSMC Property. EUV Lithography. The March toward HVM. Anthony Yen. 9 September TSMC, Ltd

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. EE143 Ali Javey Slide 5-1

AOARD REPORT The Photomask Japan '94 Held 22 April 94 at Kawasaki Science Park, Kanagawa, Japan

Decomposition difficulty analysis for double patterning and. the impact on photomask manufacturability

Micro- and Nano-Technology... for Optics

Lithography. Development of High-Quality Attenuated Phase-Shift Masks

Copyright 1997 by the Society of Photo-Optical Instrumentation Engineers.

Optical Lithography. Keeho Kim Nano Team / R&D DongbuAnam Semi

Optical Microlithography XXVIII

Improving registration metrology by correlation methods based on alias-free image simulation

Opto-Mechanical Equipment of KBTEM: Present Day and the Future

Process Optimization

Mutually Optimizing Resolution Enhancement Techniques: Illumination, APSM, Assist Feature OPC, and Gray Bars

Lecture 7. Lithography and Pattern Transfer. Reading: Chapter 7

Lecture 5. Optical Lithography

Closed Loop Registration Control (RegC ) Using PROVE as the Data Source for the RegC Process

Optimization of PMMA 950KA4 resist patterns using Electron Beam Lithography

Ion Beam Lithography next generation nanofabrication

Exhibit 2 Declaration of Dr. Chris Mack

5. Lithography. 1. photolithography intro: overall, clean room 2. principle 3. tools 4. pattern transfer 5. resolution 6. next-gen

Holistic View of Lithography for Double Patterning. Skip Miller ASML

Fabrication of suspended micro-structures using diffsuser lithography on negative photoresist

Flexible Micro- and Nano-Patterning Tools for Photonics

Development of a LFLE Double Pattern Process for TE Mode Photonic Devices. Mycahya Eggleston Advisor: Dr. Stephen Preble

MICROSTRUCTURING OF METALLIC LAYERS FOR SENSOR APPLICATIONS

In-line focus monitoring and fast determination of best focus using scatterometry

1. INTRODUCTION ABSTRACT

EE143 Fall 2016 Microfabrication Technologies. Lecture 3: Lithography Reading: Jaeger, Chap. 2

ECSE 6300 IC Fabrication Laboratory Lecture 3 Photolithography. Lecture Outline

Demo Pattern and Performance Test

* AIT-5: Maskless, High-NA, Immersion, EUV, Imprint

A Study of Wafer Plane Analysis with Mask MVM-SEM using 2D and 3D Images

Optical Maskless Lithography (OML) Project Status

Lithographic Performance and Mix-and-Match Lithography using 100 kv Electron Beam System JBX-9300FS

Sub-50 nm period patterns with EUV interference lithography

Intel's 65 nm Logic Technology Demonstrated on 0.57 µm 2 SRAM Cells

All-Glass Gray Scale PhotoMasks Enable New Technologies. Che-Kuang (Chuck) Wu Canyon Materials, Inc.

Optolith 2D Lithography Simulator

Lithography Simulation Tools Needed for 22nm HP and Beyond. Chris Mack

450mm patterning out of darkness Backend Process Exposure Tool SOKUDO Lithography Breakfast Forum July 10, 2013 Doug Shelton Canon USA Inc.

Deliverable D5.2 DEMO chip processing option 3

Imec pushes the limits of EUV lithography single exposure for future logic and memory

Characterization of e-beam induced resist slimming using etched feature measurements.

immersion optics Immersion Lithography with ASML HydroLith TWINSCAN System Modifications for Immersion Lithography by Bob Streefkerk

Resist Process Window Characterization for the 45-nm Node Using an Interferometric Immersion microstepper

UV Nanoimprint Stepper Technology: Status and Roadmap. S.V. Sreenivasan Sematech Litho Forum May 14 th, 2008

Supporting Information 1. Experimental

UV LED ILLUMINATION STEPPER OFFERS HIGH PERFORMANCE AND LOW COST OF OWNERSHIP

Nanostencil Lithography and Nanoelectronic Applications

Transcription:

Results of Proof-of-Concept 50keV electron multi-beam Mask Exposure Tool (emet POC) Elmar Platzgummer *, Christof Klein, and Hans Loeschner IMS Nanofabrication AG Schreygasse 3, A-1020 Vienna, Austria ABSTRACT First 50nm HP and 24nm iso line scanning stripe exposures with 1.4nm 1sigma stripe butting are shown of a proof-ofconcept electron multi-beam Mask Exposure Tool (emet POC), operating the column with an Aperture Plate System (APS), providing 256k (k=1024) programmable beams of 20nm beam size and 50keV beam energy at substrate within 82µm x 82µm beam array fields. Multi-beam proximity effect correction (PEC) is shown with long range corrections by local dose adjustments. The capability of exposing OPC jog patterns down to 1nm jog height is demonstrated as well as the possibility to expose complex ILT (inverse lithography techniques) patterns at no loss of throughput. The possibility to use low sensitive pcar resist material with 80µC/cm 2 exposure dose is shown. The novel electron-optical column is suitable for Alpha, Beta and multi-generational HVM tools. Keywords: mask writer, electron multi-beam technology, programmable multi-beams, electron beam projection optics. 1. INTRODUCTION IMS Nanofabrication s multi-beam mask writer series is called emet, which is short for electron Mask Exposure Tool. The basic principles common to all emet systems are outlined in reference [1]. Mid 2011 a novel 200x reduction electron-optical column was realized as part of a proof-of-concept electron multi-beam Mask Exposure Tool (emet POC). Initially the system was operated with a stencil plate to characterize the column performance. The experimental results were in agreement with simulated 5.3nm 1sigma blur within a beam array field of 82µm x 82µm at substrate [2]. End of 2011 first emet POC exposure results were obtained with a programmable Aperture Plate System (APS), providing 256k (k=1024) programmable beams of 20nm beam size and 50keV beam energy. During these first emet POC exposures with 256k-APS the laser-interferometer controlled stage was kept stationary [3]. The present paper concentrates on first emet POC scanning stripe multi-beam exposures. 2. STATIONARY STAGE AND SCANNING STRIPE EXPOSURES In stationary stage write mode the 82µm x 82µm beam array, consisting of 262,144 (512 x 512) programmable 50keV beams of 20nm beam size, is deflected in X and Y with the help of multipoles to fill up the space between the beams. This space is 160nm in X as well as in Y direction. In the scanning stripe write mode the 82µm x 82µm beam array is also deflected by ± 160nm while the substrate is moved at constant speed. Thus, exposure stripes of 82µm width were generated. Figure 1 shows exposure results obtained with the stationary stage write mode and with the scanning stripe write mode, respectively. There is no significant difference in resolution after having beam array X/Y scale and rotation adjusted, both of which are accomplished electronically, supported with in-situ diagnostics. In both cases redundancy exposure techniques were realized by overlapping spots, reducing the impact of defective beams to tolerable levels [1, 4]. The 256k-APS used for the exposures had 28 always-on beam defects. The max data rate of the realized 256k-APS is 12.8 Gbits/s [2]. With the emet POC, however, continuous printing is limited to ca. 3.2 Gbits/s due to data download times into the stripe butters and the duty cycle of the POC stage. * Elmar.Platzgummer@ims.co.at paper 4-2 PMJ 2012 page 1/6

Figure 1: emet POC operated with 256k-APS, providing 262,144 programmable beams (50keV beam energy, 20nm beam size, 0.1A/cm2 current density) within 82µm x 82µm beam array field at substrate. Multi-beam exposure with 1200µC/cm2 dose in 50nm HSQ negative non-car resist on 150mm Si monitor wafer. Left: Stationary stage exposure mode. Right: Scanning stripe exposure mode (0.1mm/s). Stationary stage exposures of iso lines of 64nm to 24nm line width where shown to have ± 0.5nm linearity (Figure 3, left [3]). Using a laser-interferometer controlled stage with 1nm 1sigma beam tracking precision, there is ± 0.75nm linearity for scanning stripe exposure (Figure 2, right). The slight decrease of performance in scanning stage write mode can be understood by the limited degree of calibration for the first exposures. Eventually, the improved averaging makes the scanning stage write mode more robust and is expected to result in at least the same writing performance as in the stationary stage case. In both cases, no linearity corrections were done. Figure 2: Iso line linearity in case of stationary stage exposures (left, [3]) and in case of scanning stripe exposures (right), using a 1nm 1sigma stage. In Figure 3 (left) the stage scanning multi-beam exposure is shown of two stripes, each having 82µm width and 240µm length. There was 2µm overlap of the two stripes (Figure 4, right): The 100nm squares exposed in the overlap region with the left Stripe 1 are indicated with the help of dotted oblique lines, and the 100nm squares exposed with the right Stripe 2 are indicated with X/Y oriented dotted lines. From the difference of Stripe 1 and Stripe 2 center positions of the 100nm square matrix, the butting error of the two stripes was evaluated to be 1.4nm 1sigma. Figure 3 (right) shows part of the Bossung pattern with oblique (45 and 135 ) 50nm HP and single lines. Here, the exposure dose was varied in 10% steps from basedose - 20% up to basedose + 20%. There is 2.4nm change of line width with 10% change of dose which is in agreement with simulations. This dose latitude for scanning stripe exposure is the same as obtained for stationary stage exposures [2]. Improvement of the stripe butting error is in progress, implementing in-situ diagnostic and electronic adjustments of X/Y scale and rotation of the programmable 82µm x 82µm beam array field. Thus, fast optimization routines are possible as needed to achieve superior performance. Detailed evaluations of the emet POC patterning performance with respect to CDU etc. are in progress [5] of scanning stripe exposures in 50nm resist materials on 150mm Si monitor wafers as well as on Cr covered 6 mask blanks. paper 4-2 PMJ 2012 page 2/6

Figure 3: emet POC scanning exposure of two stripes of 82 µm width and 240 µm length, with 2 µm overlap between stripes. 3. SCANNING STRIPE EXPOSURE OF JOGS With scanning stripe exposure, jogs of 25nm width and 100nm periodicity were exposed together with reference line wihout jogs. The jog height was varied from10nm to 1nm. Figure 4 shows that jogs of 1nm height can be resolved in the scanning stripe mode (for a comparison with a result achieved in the stationary stage writing mode, see reference [3]). Figure 4: Scanning stripe exposure of jogs of 25nm width and 100nm periodicity with height between 10nm and 1nm. The Fourier transformed signal at 100nm -1 shows that jogs down to 1nm height are resolved along a 1.2mm long strip. 4. MULTI-BEAM PROXIMITY EFFECT CORRECTION (PEC) First exposures were done with multi-beam proximity effect correction (PEC), starting with long range corrections by local dose adjustments. Without PEC, 160nm lines were different by up to 42nm, depending if nested or embedded. With a preliminary (single iteration) PEC long range correction, this difference was lowered to 2.3nm within a 82µm x 82µm paper 4-2 PMJ 2012 page 3/6

exposure field (Figure 5). Further improvement of CD accuracy down to < 1nm CD MTT is expected with improved PEC model parameters. The main conclusion to be made is that dose correction PEC can be applied using the gray level write strategy. In future, a combination of size and dose corrections will be implemented. Figure 5: Multi-beam proximity effect correction (PEC), only long range corrections implemented. For 50nm nested, isolated and embedded lines, there is a CD difference of 3.2nm (Figure 6), again only implementing long range corrections. Short range corrections will be added in a next step, in particular for patterns smaller than 100nm. With emet, short range corrections can be implemented by sizing adjustments on the 0.1nm address grid (assuming 20nm beam size and 5nm physical grid), without loss of throughput. This is an important advantage of the emet multi-beam writing techniques. CD m = 47.8nm CD m = 50.9nm CD m = 51.0nm @ 100nm space Figure 6: Multi-Beam PEC with long range corrections only of 50nm iso, nested and embedded lines (Remark: the line waviness is an artifact of the review SEM used). 5. MULTI-BEAM EXPOSURE OF ILT TEST PATTERNS Inverse lithography techniques (ILT) are becoming mandatory for sub-20nm 193nm immersion lithography. With emet multi-beam writing techniques, such complex patterns can be exposed without loss of throughput. paper 4-2 PMJ 2012 page 4/6

Scanning stripe exposures of ILT test patterns are shown in Figure 7. The design (courtesy DNP) contains smallest lines of 60nm width and dots of ca. 55nm diameter (there is deviation from circularity in the design). The exposure in HSQ negative non-car is in agreement with the design contours. Figure 7: emet POC scanning stripe exposures of ILT device test patterns (design: DNP). 6. SCANNING STRIPE EXPOSURE RESULTS IN LOW SENSITIVE pcar First exposures were done in 50nm low sensitive pcar resist on 150mm Si monitor wafers (Figure 8). The exposure dose was 80µC/cm 2. The stage velocity was 1mm/s. paper 4-2 PMJ 2012 page 5/6

In order to allow the exposure of pcar with 80µC/cm 2 exposure dose, the brightness of the electron source was lowered to achieve 0.1A/cm 2 current density at substrate level. In order to do scanning stripe exposures in HSQ resist with 1mm/s stage velocity the current density will be enhanced to 1A/cm 2. Thus, the max overall beam current with all 262,144 beams (20nm beam size) through the column will be enhanced to 1µA. Figure 8: Scanning stripe exposure (stage: 1mm/s) of 50nm HP patterns in 50nm pcar on 150mm Si monitor wafer. Exposure dose: 80µC/cm 2. Multi-beam PEC was done with long range corrections only, resulting in a larger width of the iso-line. 7. SUMMARY The status of the emet multi-beam mask writer development can be summarized as follows: Writing with 256k beams (k=1024) on scanning stage (up to 1mm/s) shown. Multi-beam Proximity Effect Correction based on dose correction using gray level writing demonstrated. Prints on low sensitivity pcar (80µC/cm²) confirm TPT capability. The novel electron-optical column worked reproducible and stable suitable for Alpha, Beta and multigenerational HVM tools ACKNOWLEDGEMENTS The 256k-APS, inserted to the emet POC, consists of an Aperture Plate, fabricated by the Institute for Microelectronics Stuttgart (IMS Chips), and of a Blanking Plate with integrated CMOS electronics, where MEMS post processing was done by the Fraunhofer Institute for Silicon Technology (ISIT). pcar coated substrates were provided by IMS Chips. Thanks are due to DNP for making available the design of ILT device test patterns. REFERENCES [1] Elmar Platzgummer, Maskless Lithography and Nanopatterning with Electron and Ion Multi-Beam Projection, Proc. SPIE 7637, 763703 (2010). [2] Elmar Platzgummer et al., emet POC: Realization of a proof-of-concept 50 kev electron multibeam Mask Exposure Tool, Proc. SPIE 8166, 816622 (2011). [3] Christof Klein et al., 50 kev electron Multibeam Mask Writer for the 11nm HP node: 1st results of the Proof of Concept Tool (emet POC), Proc. SPIE 8323, 8323-15 (2012). [4] Elmar Platzgummer et al., emet 50keV electron Mask Exposure Tool: Development based on proven multi-beam projection technology, Proc. SPIE 7823, (2010). [5] Elmar Platzgummer et al., Printing results of a proof-of-concept 50keV electron multi-beam mask exposure tool (emet POC), Abstract submitted to SPIE Photomask BACUS 2012, Monterey, Sept 10-13, 2012. paper 4-2 PMJ 2012 page 6/6