Recent Trends in Semiconductor IC Device Manufacturing

Similar documents
ISSCC 2003 / SESSION 1 / PLENARY / 1.1

Intel Demonstrates High-k + Metal Gate Transistor Breakthrough on 45 nm Microprocessors

Thermal Management in the 3D-SiP World of the Future

450mm silicon wafers specification challenges. Mike Goldstein Intel Corp.

TWINSCAN XT:1950i Water-based immersion taken to the max Enabling fast, single-exposure lithography at sub 40 nm

EMT 251 Introduction to IC Design

Progress due to: Feature size reduction - 0.7X/3 years (Moore s Law). Increasing chip size - 16% per year. Creativity in implementing functions.

Transistor was first invented by William.B.Shockley, Walter Brattain and John Bardeen of Bell Labratories. In 1961, first IC was introduced.

Intel s High-k/Metal Gate Announcement. November 4th, 2003

450mm and Moore s Law Advanced Packaging Challenges and the Impact of 3D

Parallel Computing 2020: Preparing for the Post-Moore Era. Marc Snir

Acknowledgements. o Stephen Tobin. o Jason Malik. o Dr. Dragan Djurdjanovic. o Samsung Austin Semiconductor, Machine Learning

Lecture Integrated circuits era

Introduction. Digital Integrated Circuits A Design Perspective. Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic. July 30, 2002

FinFET vs. FD-SOI Key Advantages & Disadvantages

MICROPROCESSOR TECHNOLOGY

2010 IRI Annual Meeting R&D in Transition

Low-Power VLSI. Seong-Ook Jung VLSI SYSTEM LAB, YONSEI University School of Electrical & Electronic Engineering

It s Time for 300mm Prime

Advanced Digital Integrated Circuits. Lecture 2: Scaling Trends. Announcements. No office hour next Monday. Extra office hour Tuesday 2-3pm

Intel s Breakthrough in High-K Gate Dielectric Drives Moore s Law Well into the Future

Fin-Shaped Field Effect Transistor (FinFET) Min Ku Kim 03/07/2018

Semiconductor Process Reliability SVTW 2012 Esko Mikkola, Ph.D. & Andrew Levy

40nm Node CMOS Platform UX8

W ith development risk fully borne by the equipment industry and a two-year delay in the main

BICMOS Technology and Fabrication

Intel's 65 nm Logic Technology Demonstrated on 0.57 µm 2 SRAM Cells

Semiconductor Process Diagnosis and Prognosis for DSfM

Manufacturing Case Studies: Copy Exactly (CE!) and the two-year cycle at Intel

Real time plasma etch control by means of physical plasma parameters with HERCULES

1 Digital EE141 Integrated Circuits 2nd Introduction

Trends and Challenges in VLSI Technology Scaling Towards 100nm

Property right statement: Copyright of charts, tables and sentences in this report belongs to

White Paper Stratix III Programmable Power

CMP for More Than Moore

PAPER. Reducing parametric test costs with faster, smarter parallel test techniques

The Transistor. Survey: What is Moore s Law? Survey: What is Moore s Law? Technology Unit Overview. Technology Generations

Specialization in Microelectronics. Wang Qijie Nanyang Assistant Professor in EEE March 8, 2013

Semiconductor Industry Perspective

PROCESS-VOLTAGE-TEMPERATURE (PVT) VARIATIONS AND STATIC TIMING ANALYSIS

G450C. Global 450mm Consortium at CNSE. Michael Liehr, General Manager G450C, Vice President for Research

The SEMATECH Model: Potential Applications to PV

Multiple Patterning for Immersion Extension and EUV Insertion. Chris Bencher Distinguished Member of Technical Staff Applied Materials CTO group

Inline Control of an Ultra Low-k ILD layer using Broadband Spectroscopic Ellipsometry

IMI Labs Semiconductor Applications. June 20, 2016

Innovation to Advance Moore s Law Requires Core Technology Revolution

SEMICONDUCTOR INDUSTRY ASSOCIATION FACTBOOK

Newer process technology (since 1999) includes :

IWORID J. Schmitz page 1. Wafer-level CMOS post-processing Jurriaan Schmitz

Analog front-end electronics in beam instrumentation

Public. Introduction to ASML. Ron Kool. SVP Corporate Strategy and Marketing. March-2015 Veldhoven

Advanced Digital Design

New Process Technologies Will silicon CMOS carry us to the end of the Roadmap?

EE 434 Lecture 2. Basic Concepts

Enabling Breakthroughs In Technology

New Approaches to Manufacturing Innovation in DOE

Beyond Moore the challenge for Europe

Lithography in our Connected World

Circuit Seed Overview

LAM TCP 9400 PTX Silicon Trench Etch Process Monitoring for Fault Detection and Classification

A Perspective on Semiconductor Equipment. R. B. Herring March 4, 2004

A Brief Introduction to Single Electron Transistors. December 18, 2011

DATASHEET CADENCE QRC EXTRACTION

Digital Integrated Circuits

Pramoda N V Department of Electronics and Communication Engineering, MCE Hassan Karnataka India

Intel Technology Journal

Roadmap Semiconductor Equipment Innovation Agenda

Application-Based Opportunities for Reused Fab Lines

Parameter Optimization Of GAA Nano Wire FET Using Taguchi Method

RESPONSIBILITY OF THE SEMICONDUCTOR DESIGN INFRASTRUCTURE

Introduction to CMC 3D Test Chip Project

Lecture #29. Moore s Law

In pursuit of high-density storage class memory

Lecture 04 CSE 40547/60547 Computing at the Nanoscale Interconnect

Low Power High Performance 10T Full Adder for Low Voltage CMOS Technology Using Dual Threshold Voltage

Critical Dimension Sample Planning for 300 mm Wafer Fabs

Lecture 33 - The Short Metal-Oxide-Semiconductor Field-Effect Transistor (cont.) April 30, 2007

Lecture Introduction

Lecture Notes 5 CMOS Image Sensor Device and Fabrication

Semiconductor and LED Markets. Jon Sabol Vice President and General Manager Semiconductor and LED Division

Legacy & Leading Edge Both are Winners

PC accounts for 353 Cory will be created early next week (when the class list is completed) Discussions & Labs start in Week 3

Plasma diagnostic in an inductively coupled plasma using chlorine chemistry

CS4617 Computer Architecture

Flexline - A Flexible Manufacturing Method for Wafer Level Packages (Extended Abstract)

21 st Annual Needham Growth Conference

Diverse Lasers Support Key Microelectronic Packaging Tasks

LOW-POWER SOFTWARE-DEFINED RADIO DESIGN USING FPGAS

Leakage Power Reduction by Using Sleep Methods

Design of Optimized Digital Logic Circuits Using FinFET

The Challenge of Metrology in the 450 mm Wafer Transition Process

Some Key Researches on SiC Device Technologies and their Predicted Advantages

Reducing Transistor Variability For High Performance Low Power Chips

On-chip Networks in Multi-core era

Atoms and Valence Electrons

Chapter 15 Summary and Future Trends

Used Semiconductor Manufacturing Equipment: Looking for Sales in All the Right Places. Study Number MA108-09

VLSI Testing. Yield Analysis & Fault Modeling. Virendra Singh Indian Institute of Science Bangalore

CMP: Where have we been and where are we headed next? Robert L. Rhoades, Ph.D. NCCAVS CMPUG Meeting at Semicon West San Francisco, July 10, 2013

Semiconductor Devices

Transcription:

Recent Trends in Semiconductor IC Device Manufacturing August 2007 Dr. Stephen Daniels Executive Director National Centre for Plasma

Moore s Law Moore s First Law Chip Density will double ever 18months. This means that memory sizes, processor power, etc. all follow the same curve Moore s Second Law The cost of building chip fabrication plants will continue to increase (and the return on investment to decrease) until it becomes fiscally untenable to build new plants i.e. while it may be technologically possible to continue to double the density of chips every 18months, the cost of achieving this goal will eventually surpass the profit Sustained for the last 4 decades!!

Industry trends Reduced linewidth - 65nm Increased wafer size - 300mm New Materials e.g. Cu/Low-k, High-k New Processes e.g. ALD, ECP, Immersion Litho Increased packing density > Price trend: From $100 per transistor to 10 million transistors per $!!!

Economic Realities Rising Fab Costs Greater Market Fragmentation Smaller Product Volumes Shorter Product Lifecycles Increased R&D Costs Ongoing business fluctuations New Trends: Manufacturing Alliances and R&D Partnerships Emergence of Low-Cost OEM Alternatives Yield is core to profitability

Topics du Jour From Semicon West 07 High-k and Metal Gate Next Shrink 45nm or 32nm Solar (& large area processing) Opportunities Growing Market for LEDs

Integrating New High-k dielectric materials with metal gate electrodes Oxynitride currently used as gate dielectric for CMOS Logic and Memory for current state of the art Often only 5 atomic layers used leads to gate leakage exacerbated by further scaling The ability to integrate high-k/metal gates will address the power consumption issue, one of the industry's major barriers to scaling semiconductor technology and continuing on Moore s Law path Dramatically reduces transistor leakage current a major source of unwanted power consumption HEAT Wafer costs will increase by roughly 4 percent with the introduction of chips based on high-k dielectrics and metal gates high-k/metal gate technology is expected to be initially implemented in 45nm low power devices followed by high performance chip designs

Fabrication Costs The operational costs of 300mm wafer production is significantly more expensive than 200mm wafer production In modern fabs, metrology costs can account for circa 20% of fab costs Current process monitoring generally incorporates stand-alone metrology, which is costly, time consuming, and requires additional steps

Integrated Metrology vs Stand-Alone Metrology Integrated metrology measures every wafer as it proceeds through the production line Off-line metrology relegated to calibration, tool matching,.. Currently huge risks due to lack of sensors, process models, cost Technical, Operational, Economic factors driving industry towards APC/AEC

Process Control - why now? The move to 65nm and lower Smaller process windows More expensive wafers The move to 300mm wafers More expensive wafers Higher capital equipment cost The erosion of margin Time to market Shorter cycle times Manufacturing costs

Current process control approaches 1. Tool-state SPC monitoring on all processed wafers 2. Wafer-state inline monitoring on sampled subset of wafers ISSUES Tool-state does not reflect wafer-state Limited wafer set, time delay on wafer-state sampling leads to yield loss, more advanced sensors required Yield is core to profitability

Process Control Issues Inability to identify problems until end-of-line Running test wafers to confirm problems Replacing components until the problem goes away Difficulty in localizing the problem

Advanced Process Control Options: A. Measure wafer-state on all wafers - very expensive, limits thruput B. Develop model which links wafer-state to processstate and measure process-state (Virtual Metrology) - physics/chemistry/control problem, work in progress C. Measure process-state to monitor faults - Fault Detection and Classification (FDC)

The Components of an APC Course Correction Framework (ITRS) Run-to-run (R2R) control - adjusts process parameters to compensate for drift in machine parameters Fault Management Fault Detection and Classification (FDC) - catches faults, initiates repair, reduces mis-processing of wafers and scrap

R2R Control Feed-Forward Model Model Process Module N-1 Metrology Process Module N Metrology Process Module N+1 Model Model Feed-back

Example Front-End CMP Integrated Ellipsometry Module Taken from K. J. Stanley et al, Proc. 2002 Winter Simulation Conf.

Summary Many exciting opportunities and challenges facing the industry The semiconductor industry continues to promise greater rewards, with compound annual growth rates forecasted to be in the high single digits for many years to come Growth opportunities in emerging market sectors Technological Innovations in both the front end and backend Metrology becoming more critical and expensive Time for APC is fast approaching