Lithographic Performance of a New Generation i-line Optical System: A Comparative Analysis. Abstract

Similar documents
Reducing Proximity Effects in Optical Lithography

Image Manipulation. Chris A. Mack Department of Defense Fort Meade, MD ABSTRACT

Copyright 1997 by the Society of Photo-Optical Instrumentation Engineers.

Copyright 2000 by the Society of Photo-Optical Instrumentation Engineers.

MICROCHIP MANUFACTURING by S. Wolf

Using the Normalized Image Log-Slope, part 2

MICROBUMP LITHOGRAPHY FOR 3D STACKING APPLICATIONS

Key Photolithographic Outputs

UV LED ILLUMINATION STEPPER OFFERS HIGH PERFORMANCE AND LOW COST OF OWNERSHIP

optical and photoresist effects

Lithographic Process Evaluation by CD-SEM

Optolith 2D Lithography Simulator

Optical Proximity Effects, part 2

Process Optimization

(Ar [ Si O Si O] m )n

Copyright 2002 by the Society of Photo-Optical Instrumentation Engineers.

Lithography. 3 rd. lecture: introduction. Prof. Yosi Shacham-Diamand. Fall 2004

Characterization Study of an Aqueous Developable Photosensitive Polyimide on 300 mm Wafers

Tutor43.doc; Version 8/15/03 T h e L i t h o g r a p h y E x p e r t (November 2003)

Analysis of Focus Errors in Lithography using Phase-Shift Monitors

Optical Proximity Effects

Depth of Focus, part 2

Synthesis of projection lithography for low k1 via interferometry

1X Broadband Wafer Stepper for Bump and Wafer Level Chip Scale Packaging (CSP) Applications

DOE Project: Resist Characterization

Copyright 1998 by the Society of Photo-Optical Instrumentation Engineers.

CHARACTERIZATION OF THE PERKIN-ELMER MODEL 140 PROJECTION ALIGNER EXPOSURE SOURCE AND MODELING OF RESIST PROFILES

Photolithography II ( Part 2 )

Effect of Reticle CD Uniformity on Wafer CD Uniformity in the Presence of Scattering Bar Optical Proximity Correction

Line End Shortening. T h e L i t h o g r a p h y E x p e r t (Spring 2000) Chris A. Mack, FINLE Technologies, Austin, Texas

EE-527: MicroFabrication

Mirror-based pattern generation for maskless lithography

OPC Rectification of Random Space Patterns in 193nm Lithography

16nm with 193nm Immersion Lithography and Double Exposure

OPTICAL LITHOGRAPHY INTO THE MILLENNIUM: SENSITIVITY TO ABERRATIONS, VIBRATION AND POLARIZATION

Optical Lithography. Here Is Why. Burn J. Lin SPIE PRESS. Bellingham, Washington USA

Lecture 7. Lithography and Pattern Transfer. Reading: Chapter 7

Photolithography I ( Part 1 )

Section 2: Lithography. Jaeger Chapter 2. EE143 Ali Javey Slide 5-1

Large Area Interposer Lithography

Resolution. T h e L i t h o g r a p h y E x p e r t (Winter 1997) Chris A. Mack, FINLE Technologies, Austin, Texas

The End of Thresholds: Subwavelength Optical Linewidth Measurement Using the Flux-Area Technique

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. The lithographic process

What s So Hard About Lithography?

THE USE OF A CONTRAST ENHANCEMENT LAYER TO EXTEND THE PRACTICAL RESOLUTION LIMITS OF OPTICAL LITHOGRAPHIC SYSTEMS

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. EE143 Ali Javey Slide 5-1

LITHOGRAPHIC LENS TESTING: ANALYSIS OF MEASURED AERIAL IMAGES, INTERFEROMETRIC DATA AND PHOTORESIST MEASUREMENTS

Copyright 2000, Society of Photo-Optical Instrumentation Engineers This paper was published in Optical Microlithography XIII, Volume 4000 and is made

Part 5-1: Lithography

Performance data of a new 248 nm CD metrology tool proved on COG reticles and PSM s

Organic Antireflective Coatings for Photomask Fabrication using Optical Pattern Generators

Experimental measurement of photoresist modulation curves

Critical Dimension Enhancement of DUV Photolithography on the ASML 5500/300. Francesca Calderon Miramonte High School August 13th, 2015

Optical Proximity Effects, part 3

immersion optics Immersion Lithography with ASML HydroLith TWINSCAN System Modifications for Immersion Lithography by Bob Streefkerk

Major Fabrication Steps in MOS Process Flow

Supplementary Figure 1. GO thin film thickness characterization. The thickness of the prepared GO thin

Copyright 2000 by the Society of Photo-Optical Instrumentation Engineers.

Application Note (A11)

Managing Within Budget

Module 11: Photolithography. Lecture 14: Photolithography 4 (Continued)

Resist Process Window Characterization for the 45-nm Node Using an Interferometric Immersion microstepper

Photolithography Technology and Application

Illumination spectral width impacts on mask error enhancement factor and iso-dense bias in 0.6NA KrF imaging

Microlens formation using heavily dyed photoresist in a single step

Guide to SPEX Optical Spectrometer

Characterization of a Thick Copper Pillar Bump Process

Optical Issues in Photolithography

MicroSpot FOCUSING OBJECTIVES

Lecture 13 Basic Photolithography

450mm and Moore s Law Advanced Packaging Challenges and the Impact of 3D

Applications of Maskless Lithography for the Production of Large Area Substrates Using the SF-100 ELITE. Jay Sasserath, PhD

EE143 Fall 2016 Microfabrication Technologies. Lecture 3: Lithography Reading: Jaeger, Chap. 2

CONTACT HOLE IMAGING AT THE 0.13 µm NODE USING KrF LITHOGRAPHY

KrF EXCIMER LASER LITHOGRAPHY TECHNOLOGY FOR 64MDRAM

ECSE 6300 IC Fabrication Laboratory Lecture 3 Photolithography. Lecture Outline

Optical lithography is the technique for

Feature-level Compensation & Control

Copyright 2004 by the Society of Photo-Optical Instrumentation Engineers.

High Resolution Microlithography Applications of Deep-UV Excimer Lasers

Linewidth control by overexposure in laser lithography

Market and technology trends in advanced packaging

1. INTRODUCTION ABSTRACT

Lecture 5. Optical Lithography

Shot noise and process window study for printing small contacts using EUVL. Sang Hun Lee John Bjorkohlm Robert Bristol

Optical Requirements

Outline. 1 Introduction. 2 Basic IC fabrication processes. 3 Fabrication techniques for MEMS. 4 Applications. 5 Mechanics issues on MEMS MDL NTHU

i- Line Photoresist Development: Replacement Evaluation of OiR

Comparative Study of Binary Intensity Mask and Attenuated Phase Shift Mask using Hyper-NA Immersion Lithography for Sub-45nm Era

Understanding focus effects in submicrometer optical lithography: a review

Optical Lithography. Keeho Kim Nano Team / R&D DongbuAnam Semi

Photolithography. References: Introduction to Microlithography Thompson, Willson & Bowder, 1994

Test procedures Page: 1 of 5

Isolator-Free 840-nm Broadband SLEDs for High-Resolution OCT

Optical design of a high resolution vision lens

Oriel Flood Exposure Sources

2. Pulsed Acoustic Microscopy and Picosecond Ultrasonics

Mutually Optimizing Resolution Enhancement Techniques: Illumination, APSM, Assist Feature OPC, and Gray Bars

Comparison of Scalar and Vector Diffraction Modelling for Deep-UV Lithography

5. Lithography. 1. photolithography intro: overall, clean room 2. principle 3. tools 4. pattern transfer 5. resolution 6. next-gen

Transcription:

Lithographic Performance of a New Generation i-line Optical System: A Comparative Analysis Gary Flores, Warren Flack, Lynn Dwyer Ultratech Stepper 3230 Scott Blvd. Santa Clara CA 95054 Abstract A new generation i-line optical stepper utilizing the established benefits of the 1x Wynne-Dyson lens design has been developed for mix-and-match lithography. Based on the advantages of cost of ownership and high throughput capability, the Ultratech 2244i was specifically designed as a cost effective approach to complement high NA reduction steppers in a mix-and-match environment, especially for high volume DRAM and ASIC manufacturing. This system features an ultra-large image field of 22 x 44mm with a 0.32 numerical aperture lens with an illumination bandwidth of 20 nanometers (355 to 375nm). As a result, this system provides µm manufacturing capability. These features provide improved critical dimension (CD) interference effects and superior depth-of-focus for the 2244i. Applying i-line lithography at 1x offers a number of advantages compared to reduction steppers. For example, a critical area of concern in i-line lithography is the impact of thin film interference effects, which typically limits CD control performance. The relatively large bandwidth of the 2244i 1x lens suppresses interference effects as compared to narrowband reduction systems. Both lithography simulations and empirical results of linewidth control as a function of resist film thickness variations will be shown in order to depict the relative system immunity to film thickness effects. An additional major challenge associated with increasing numerical apertures of any steppers is limited depth-of-focus. The comparatively low NA of this 1x i-line system alleviates this problem, while providing micron resolution with greater than 2.0 micron depth-of-focus across the large image field. Excellent critical dimension control for intrafield, interfield, and interwafer conditions will be demonstrated. Swing curves depicting linewidth control through focal plane and resist thickness variations will be shown for both experimental data and lithographic simulations. In addition, simulations of reduction stepper performance will be provided to graphically illustrate the superior CD control of the 2244i. 1. Introduction As global competition continues to intensify, integrated circuit manufacturers must continually push technology limits. In order to gain a competitive advantage, both technological and economic factors of the manufacturing process must be taken into consideration. Fabrication costs are increasing dramatically for complex technologies such as DRAMs. This is especially apparent with lithography equipment which can be the most costly area of a production facility 1. Cost of advanced lithographic technologies such as reduction i-line, deep UV, step-and-scan, e-beam and X-ray are forcing semiconductor manufacturers to postpone implementing these approaches in production. The coupling of phase-shift masks with reduction i-line steppers can increase overall lithography costs by up to 30 percent, making production as expensive as KrF excimer laser steppers 2. Further, the continued dramatic improvements in optical lithography in terms of phase-shifting

technology, advanced i-line photoresists and improved optics have all deferred the need for expensive advanced lithographic techniques for 0.35 microns resolution 3. Mix-and-match lithography is a field-proven and cost effective answer to the rising expense of semiconductor manufacturing 4,5,6,7. In an mix-and-match (or intermix) strategy, the non-critical layers of a process are exposed on a cost-efficient 1:1 stepper and critical layers are exposed on expensive reduction i-line, DUV, X-ray or E-beam systems. For example, when considering a typical 16-megabit DRAM process with 23 lithography layers, 15 layers of micron resolution and above can be patterned with a 1x Ultratech Stepper. 8,9 This can result in five year cost of ownership saving of $70 million or more. 9 In a recognition of this industry trend towards high productivity and cost-effective equipment strategies, Ultratech Stepper has introduced the model 2244i, the first stepper designed specifically for mix-andmatch applications. It takes advantages of the established benefits of the 1x Wynne-Dyson lens design as applied to i-line lithography with a broad illumination bandwidth of 20 nanometers (355 to 375nm). Additionally, the system uses a numerical aperture of 0.32 which supports micron manufacturing capability over an ultra-large image field size of 22 x 44 mm. This field size can encompass two image fields of new generation high NA advanced reduction steppers. For example, four 64-megabit or six 16- megabit DRAM chips can be imaged within one 2244i field. In terms of manufacturing performance, the large field size coupled with an extremely fast and accurate linear motor wafer stage, results in the most productive step-and-repeat lithography tool in the industry at eighty 200mm wafers per hour. When considering these benefits for cost-of-ownership, the 2244i the provides an efficient solution for cost effective mix-and-match lithography with reduction steppers. In addition to the major cost advantages of mix-and-match, there are a number of interesting and distinct lithography features of the 2244i compared to reduction i-line steppers. The scope of this study is to highlight these lithographic features of the 2244i in terms of empirical and simulations results and to perform a comparison to high NA i-line reduction steppers. For example, a critical area of concern in i- line lithography is the impact of thin film interference effects, which typically limits critical dimension control performance. The effect of the large bandwidth of this 1x lens is suppression of interference effects as opposed to reduction systems which commonly use a narrower bandwidth. Both lithography simulations and empirical results of linewidth control as a function of resist film thickness variations will be shown in order to depict the relative immunity of film thickness effects. A major challenge associated with the increasing numerical apertures of many reduction steppers is limited depth-of-focus. In fact many suppliers of advanced reduction steppers now have introduced i-line equipment with numerical apertures of 0.60 with 0.35 micron resolution 10. The comparatively low NA of the 2244i-line system alleviates depth-of-focus limitations while providing a useful micron resolution with greater than 2.0 micron depth-of-focus across the large field. The lithography imaging characteristics of this system including resolution and depth-of-focus through resist thickness variations will be reviewed. These will be compared to predictions from lithographic simulations for both 1x and reduction steppers illustrating the impact of system configurations on performance. Finally, the resulting overall CD control for the 2244i for micron manufacturing will be presented based on the contributions interfield, intrafield and interwafer variations.

2. Overview of the 2244i Optical System The two major subsystems of the 2244i which impact lithographic performance are the projection optics and the illumination system. The remaining system description of the 2244i has been detailed elsewhere. 9 2.1 Projection Lens The projection lens system of the 2244i is of the Wynne-Dyson design consisting of five optical elements arranged in two groups. The lens is folded, symmetrical, and catadioptric which results in a 1x magnification 9. This inherently simple and well-proven design is free from many of the distortion errors inherent in more complicated reduction lens designs. The illumination bandwidth of the 2244i is from 355 to 375nm and the exposure area images a 22mm x 44mm rectangle or a 27mm square. Advances in optical glass manufacturing enable a higher level of optical correction and transmittance that exceed the performance standards of comparable lenses. This results in higher wafer plane irradiance (greater than 700 mw/cm2) than other i-line exposure tools despite the much larger field size. 2.2 Illuminator The illumination system consists of a 750 Watt air-cooled Mercury lamp that is pulsed to 1000 Watts during exposure. The large 20nm spectral bandwidth allows utilization of a standard lamp design, eliminating the need for a spectrally narrowed i-line lamp. An ellipsoidal reflector collects and focuses light from the lamp into an integrating lightpipe. The lightpipe produces a highly uniform field of illumination that is relayed and focused at the reticle plane. Exposure time is controlled by a detector and a symmetrical, dual-blade voice coil shutter. The result is a uniform (±2%) and repeatable exposure at the wafer plane. 3. Lithographic Results 3.1 Linewidth Control and Thin Film Interference Effects Significant effort in the lithography industry has been directed at quantifying and minimizing thin film effects in photoresists due to the inference of incident and reflected rays of monochromatic light 11,12. This phenomenon represents a large component of CD control in submicron environments. In fact, many early generation photoresist processes for monochromatic i-line steppers produced up to 0.2 microns linewidth variation over a typical interference period. 12 Among the processing techniques to suppress these effects are the use of Top Anti Reflective Coatings (TAR), bottom Anti Reflective Coatings (ARC), Multilayer Resist Systems (MLR), Dyed Resists, and Image Reversal Photoresists. 13 However, these techniques add varying degrees of additional process complexity in comparison to conventional single layer positive photoresists. The optical systems used in lithographic tools can also influence thin film effects. It is instructive to consider the impact of Numerical Aperture (NA) and illumination bandwidth (λ). Previous work has illustrated that increasing NA reduces the CD swing variation due to two contributing mechanisms. 14 The first is the increase in the amount of nonoblique light propagation for the aerial image entering the photoresist film, which consequently minimizes the effect of film thickness variation. Additionally, the

increase in exposure latitude associated with increasing NA manifests an improvement in swing characteristics. Both mechanisms function cooperatively to lower swing characteristics as NA is increased. The second factor is illumination bandwidth. However, it has received scant attention due to the narrow illumination bandwidth requirements of reduction optics. In contrast, the wider bandwidth of the Ultratech 2244i creates an opportunity to analyze this effect. 3.2 Modeling Analysis The initial study of NA and λ effects was performed using the optical lithography simulation package PROLITH 15. The analysis was performed using OCG 897i photoresist with micron linewidths. The 897i was chosen because it is typical of the second generation advanced i-line photoresist materials while microns is the specified resolution of the Ultratech 2244i system. Figure 1 illustrates linewidth variation versus photoresist thickness for a range of numerical apertures. An illumination bandwidth of 5 nm from 362.5 to 367.5 nm was used for this analysis. The effect of increasing numerical aperture is a reduction in swing characteristics, suggesting an improvement in CD control for larger NA despite the well acknowledged corresponding reduction in usable depth-of-focus. Increasing the NA from 0.30 to 0.60 lowers the CD swing amplitude from 0.20 to 0.075 microns. This reconfirms both empirical and theoretical results that have been previously reported 14. Illumination bandwidths for typical reduction steppers are limited to 5 nm due to the challenges associated with optical corrections in multicomponent lens systems. In contrast, the 1x optics of the Ultratech 2244i utilizes a 20 nm bandwidth. The benefit of this larger illumination bandwidth can be demonstrated by analysis of λ for a fixed NA. Interference effects of a 0.32 NA system for illumination bandwidths from 2 nm (364-366 nm) to 20 nm (355 to 375 nm) are depicted in Figure 2. Increasing the illumination bandwidth results in lowering the CD swing amplitude from 0.175 to 0.075 microns. This finding is especially interesting when taking both λ and NA into consideration. For example, the net effects of λ and NA are summarized in Figure 3 for the 2244i stepper (0.32 NA and λ = 20 nm) and a high NA reduction stepper (0.60 NA stepper and λ = 5 nm). Although the large NA reduces swing variability, the impact of the larger illumination bandwidth on the 2244i is far more dominant. The criteria of resolution improvement has lead to the continued increase in numerical aperture for i-line lithography. Numerous researchers have demonstrated resolution performance to 0.325 micron using such high NA systems in conjunction with advanced i-line photoresist processes. Yet there is still controversy regarding the necessity for larger NA due to limitations in usable depth-of-focus. For example, Mack 16 has illustrated for a state-of-the art 0.7 micron lithography process, a 0.43 NA system would perform better than a larger NA system. Figure 4 compares simulation results of depth-of-focus for a 0.32 NA and 0.55 NA stepper for geometries of to 2.0 microns. The normalized log image slope 6 was used as the criterion for linewidth control, and hence the results are independent of photoresist process. A normalized log image slope of 4.0 (microns) -1 was selected as the criterion for depth-of-focus. Over the entire range of linewidth, superior depth-of-focus is shown by the lower NA system. Specifically, at micron resolution there is 3.0 versus 2.0 microns of depth-of-focus when comparing the 0.32 NA to the 0.55 NA. Further, the benefit becomes more significant with increasing linewidth for the 0.32 NA.

It is possible to summarize these effects by a comparison of the process latitude for the 2244i stepper (0.32 NA and λ = 20 nm) and a high NA reduction stepper (0.60 NA stepper and λ = 5 nm) for micron linewidths. Since thin film interference effects have been shown to be important, both a maximum and minimum photoresist thickness on the swing curve were analyzed. Figures 5 and 6 show linesize as a function of focus (in microns) and exposure (in mj) for the 2244i stepper at the maximum and minimum on the swing curve respectively. The shaded area shows the process window within 0.1 microns of nominal linesize. In addition, the photoresist wall angle was verified to be greater than 80 over the process window. It is apparent that the 2244i shows an outstanding depth of focus of over 4 microns at both the maximum and minimum thickness. The exposure window is somewhat larger at the maximum resist thickness. In comparison, figures 7 and 8 show linesize as a function of focus and exposure for the high NA reduction stepper at the maximum and minimum on the swing curve respectively. The large NA has resulted in a tilt in the Bosson curve which creates a distorted shape for the process window. Both the maximum and minimum thickness show excellent exposure latitude at a slight negative defocus. The depth of focus is difficult to quantify due to the shape of the process window. However, it is apparent that it is substantially less than that of the 2244i. In conclusion, the 2244i would create a much larger rectangular shaped operating window at both a maximum and minimum on the swing curve than the high NA reduction stepper. 3.3 Experimental Results The previous modeling results illustrate two crucial benefits of the comparatively low NA (0.32) and large illumination bandwidth of the 2244i; specifically reduced thin film interference effects and relatively large depth-of-focus at micron resolution. These are both key requirements for production submicron lithography. Experimental results are presented to validate the simulation analysis and demonstrate the performance of the 2244i for an advanced i-line lithography process. An experimental swing curve for the 2244i was prepared using UCB-JSR ix500el photoresist. For this test, a total of twenty 8 inch silicon wafers were coated with photoresist increments of 150 Å over a range from 10000 Å to 12500 Å. The order in which the wafers were processed was randomized at coat, prebake, exposure and develop processes to remove any possible systematic or sequential process effects. A nominal exposure dose of 300 mj was used for all wafers. Additionally, replicate wafers were included at minimum (11200 Å), inflection (11500 Å) and maximum (11800 Å) photoresist thicknesses in order to reduce experimental error. For this discussion, the conditions of maximum linewidth on the swing will be referred to as an interference maximum, while conditions of minimum linewidth will be noted as interference minimum. Between the maximum and minimum conditions is the inflection point on the swing curve. The swing curves for nominal mask linewidths of micron, 0.9 micron and 1.0 micorn geometries patterned on the 2244i are shown in Figure 9. These linewidth measurements were obtained using a KLA 5015 coherence probe microscope. A total of 15 measurements per wafer were collected for each linewidth. The three swing curves exhibit identical interference periods of approximately 1200 Å with an amplitude of approximately 0.1 microns. The phase characteristics of each linewidth swing curve is also the same, indicating an independence of interference effects on mask linewidth. Maxima over the experimental range occur at approximately 10000, 11200 and 12400 Å photoresist thicknesses, and minima occur at approximately 10500 and 11800 Å. Both the interference period and amplitude values

are consistent with the simulation results shown in figures 1 through 3, validating the algorithms used in the PROLITH simulation model. Differences in lithographic performance at various conditions on the swing curve were explored in the modeling section. Experimental focus/exposure plots of micron linewidths at the minimum (11200 Å), inflection (11500 Å) and maximum (11800 Å) interference conditions are shown Figures 10, 11 and 12 respectively. A matrix of exposures from 240 to 300 mj in 15 mj increments was used with a focus matrix of ± 1.6 microns in 0.4 micron increments. For each interference condition, isofocal process conditions occur with a zero size bias over a range of focus of at least ± 1.6 microns. The differences in optimum exposure dose for the three conditions are 255, 270 and 300 mj/cm 2 for the minimum, inflection and maximum respectively. These results concurr with the earlier modeling results of the 2244i, where there is a large depth-of-focus with a minimum linewidth variation at optimum dose. This is in stark contrast to both the simulation results and typical experimental behavior of high NA systems, where the focus/exposure plots are tilted and have a shallower depth-of-focus. Clearly the comparatively large depth-of-focus and flat focus/exposure behavior of the 2244i provide advantages for process and linewidth control. Lithographic performance can also be examined by SEM s of the line and space pairs for these three interference conditions. Figure 13 shows the corresponding SEM s of micron lines and spaces through ± 1.6 microns of focus at the same three operating conditions. The optimum exposure doses are based on the focus/exposure results from figures 10 through 12. Over the range of focus conditions, the linewidth profiles in each interference condition appear very consistent with sidewall angles all near 80. These results illustrate relative immunity to interference effects, with the expected differences in required dose to size. Depth-of-focus performance also exhibits at least the entire experimental range of 3.2 microns. Therefore it is not possible to determine if the experimental depth-of-focus is as large as the 4.0 micron range from the simulations.the consistent behavior of slightly greater profile degradation in the negative focus direction illustrates that a positive focus bias is optimal, which is typical for many submicron processes. 3.4 Critical Dimension Variability It has been shown that critical dimension variability in submicron lithography is highly dependent on the parameters of NA and thin film interference effects. From a process control perspective, the large field size of the 2244i requires careful assessment of inter and intra field CD variations. Additionally, processing variations contribute to CD variability. The CD performance of the 2244i was examined at the resolution of microns for a 200mm inch wafer process using UCB-JSR ix500el photoresist. Linewidth measurement locations were selected at the extreme four corner sites of the field along with the field center site for intrafield components of variation, while 15 fields per wafer were measured for the interfield variations. All measurements were collected using top down linewidth measurements on a Hitachi S-570 SEM. Figure 14 displays the resulting CD variation for this process based on 300 site measurements. The distribution appears to be normal and random with a mean linewidth of 13 microns and a standard deviation of 0.03 microns.

3.5 Resolution Performance SEMs of 0.7 micron and 0.6 micron line and space pairs are shown in Figure 15 to further illustrate the imaging capabilities of the 2244i using UCB-JSR ix500el photoresist. This resolution performance corresponds to k 1 values in Rayleighs equation of 0.61 and 0.52 for 0.7 and 0.6 micron geometeries respectively on the 2244i. 4. Conclusions The Ultratech Stepper Model 2244i has been specifically designed to complement advanced reduction steppers in high-volume, mix-and-match applications. Simulation results examining the effect of both NA and illumination bandwidth for high NA reduction systems versus the Ultratech 2244i revealed several interesting features. First, the effect of increasing illumination bandwidth to 20 nm for the 2244i reduces CD interference effects and hence improves linewidth control. Alternatively, the effect of higher NA on thin film interference effects is an improvement in CD interference effects, but at a reduction in the depth-of-focus window. For the 2244i, the net effects of the higher illumination bandwidth and comparatively low NA is dominant and provides improved CD interference effects and superior depthof-focus at micron resolution. These results were experimentally validated on 8 inch wafers using UCB-JSR ix500 EL photoresist. The 2244i meets the prerequisites of advanced DRAM manufacturing of superior process linewidth control and high productivity through the design characteristics of a comparatively low NA of 0.32 and illumination bandwidth of 355 to 375 nm. 5. Acknowledgments The authors wish to acknowledge the contributions of Susan Ackermann and Gene Ireland of Ultratech Stepper, and Mark Merrill of KLA Instruments Corporation for linewidth measurements. 6. References 1.) A. Bergedahl, D. Horak, P. Bakeman, D. Miller, Cluster Tools, Part 2: 16 Mb DRAM Processing, Semiconductor International, September 1990. 2.) A Report on Japanese 64M DRAM Manufacturing Plans, Nikkei Microdevices, May 1991. 3.) G. Flores and B. Kirkpatrick, Advances in Optical Lithography Push X-ray to the Future, IEEE Spectrum, October 1991. 4.) J. Maltabes, M. Hakey, and A. Levine, Cost/Benefit Analysis of Mix-and-Match Lithography for Production of Half-Micron Devices, These Proceedings, March 1993. 5.) W. Flack, D. Dameron, Mix and Match Lithography for Half Micron Technology, SPIE Electron-Beam, X-Ray, and Ion Beam Lithographies for Manufacturing, Conf. 1465, March 1991. 6.). S. Wittekoek, P. Luehrmann, D. Crabtree, Wafer Stepper Developments for Sub Half Micron Design Rules, Semicon Korea Technical Proceedings I, November 1992.

7.) M. Perkins, J. Stamp, Intermix Technology: The Key to Optimal Stepper Productivity and Cost Efficiency, Optical/Laser Microlithography V, Proc. SPIE 1674 (1992) Proceedings. 8.) Cost of Ownership Model, Sematech, Revision December 1991. 9.) L. Wise, R. Mahany, L. Wang, New Ultra Large Submicron i-line Stepper for Advanced Mix-and-Match Applications, ASMC 1992. 10.) Y. Hasegawa, New Projection Lenses for 16M and 64M DRAMS, Semicon Korea Technical Proceedings I, November 1992. 11.) J. Bruce, Characterizing and Prediction of Linewidth Variation Due to Thin Film Interference Effects, KTI Microelectronics Seminar 1989. 12.) J. Love, Evaluation and Process Optimization of I-line Resist for Submicron Processing, KTI Microelectronics Seminar 1990. 13.) B. Lin, Methods to print optical images at low-k 1 factors, SPIE Vol. 1264 Optical/Laser Microlithography III, 1990. 14.) D. Norbury, Photoresist bake conditions and their effect on lithography process control, SPIE Vol. 1463 Optical/Laser Microlithography IV, 1991. 15.) PROLITH Version 2.2, Positive/Negative Optical Lithography Model, FINLE Technologies 1992. 16.) C. Mack, Optimum Stepper Performance Through Image Manipulation, KTI Microelectronics Seminar, 1989.

Linewidth (microns) 1.025 0.975 0.925 75 25 NA = 0.30 NA = 0.35 NA = 0.45 NA = 0.55 NA = 0.60 0.775 1.10 1.15 1.20 Resist Thickness (microns) 1.25 Figure 1: Linewidth vs. Resist Thickness for various numerical apertures. Illumination bandwidth = 362.5 to 367.5 nm. 1.30 Linewidth (microns) 0.975 0.925 75 25 364 to 366 nm. 362.5 to 367.5 nm. 357.5 to 372.5 nm. 355 to 375 nm. 0.775 1.10 1.15 1.20 Resist Thickness (microns) Figure 2: Linewidth versus resist thickness for various illumination bandwidths (NA=0.32). 1.25 1.30

Linewidth (microns) 0.925 2244i- 0.32 NA 0.9 NA = 0.60 75 5 25 0.775 1.1 1.15 1.2 1.25 1.3 Resist Thickness (microns) Figure 3:Linewidth versus resist thickness 0.32 NA and 0.60 NA Depth-of-focus (um) 10 9 8 7 0.32 NA 0.60 NA 6 5 4 3 2 0.6 1 1.2 1.4 1.6 1.8 2 2.2 Linewidth (microns) Figure 4: Depth-of-focus versus linewidth for 0.32 NA and 0.60 NA

Focus (um) -2-1.6-1.2 - -0.4 0 0.9 0.4 0.7 1.2 1.6 1 0.6 2 150 170 190 210 230 250 270 290 310 Exposure (mj) Focus (um) -2-1.6-1.2 - -0.4 0 0.7 0.4 0.9 1.2 0.6 1.6 2 130 150 170 190 210 230 250 270 Exposure (mj) Figure 5: Linesize contour plot for a 1x stepper at a maximum resist thickness on the swing curve. Figure 6: Linesize contour plot for a 1x stepper at a minimum resist thickness on the swing curve. Focus (um) -2-1.6 1 0.7-1.2 - -0.4 0.9 0 0.4 0.7 0.6 1 1.2 1.6 2 150 170 190 210 230 250 270 290 Exposure (mj) Focus (um) -2-1.6 0.6-1.2 0.9 0.7 - -0.4 0 0.4 0.7 0.6 1.2 1.6 2 130 150 170 190 210 230 250 270 290 Exposure (mj) Figure 7: Linesize contour plot for a 0.60 NA reduction stepper at a maximum resist thickness on the swing curve. Figure 8: Linesize contour plot for a 0.60 NA reduction stepper at a minimum resist thickness on the swing curve.

Linewidth (microns) 1.2 1.1 1 0.9 0.7 10000 10500 11000 11500 12000 12500 Film Thickness (Å) Figure 9. Experimental swing curve for, 0.9 and 1.0 um lines on the Ultratech 2244i. um line 0.9 um line 1.0 um line 1.000 225 mj Linewidth (um) 0.900 00 0.700 0.600-2.000-1.500-1.000-0.500 0.000 0.500 1.000 1.500 2.000 Focus (um) Figure 10. Experimental focus/exposure matrix for micron lines on the Ultratech 2244i at an interference minimum. Resist thickness = 11200 Å. 240 mj 255 mj 270 mj 285 mj 300 mj

1.000 225 mj Linewidth (um) 0.900 00 0.700 240 mj 255 mj 270 mj 285 mj 300 mj 0.600-2.000-1.500-1.000-0.500 0.000 0.500 1.000 1.500 2.000 Focus (um) Figure 11. Experimental focus/exposure matrix for micron lines on the Ultratech 2244i at an interference inflection. Resist thickness = 11500 Å. 1.000 0.900 285 mj Linewidth (um) 00 300 mj 0.700 0.600-2.000-1.500-1.000-0.500 0.000 0.500 1.000 1.500 2.000 Focus (um) Figure 12. Experimental focus/exposure matrix for micron lines on the Ultratech 2244i at an interference maximum. Resist thickness = 11800 Å.

Maximum Inflection Minimum + 1.6 + 0 - -1.6 Figure 13. micron lines at three operating conditions on a swing curve (maximum, inflection and minimum)

35 30 25 Count 20 15 10 5 0 0.74 0.76 0.78 2 4 6 8 0.9 0.92 Linewidth (microns) Figure 14. CD variability for micron lines on the Ultratech 2244i including intersite, interfield, and interwafer components of 300 measurements. 0.6 micron 0.7 micron Figure 15: Cross section views of 0.6 and 0.7 micron lines and spaces patterned on the 2244i