NANOFABRICATION, THE NEW GENERATION OF LITHOGRAPHY. Cheng-Sheng Huang & Alvin Chang ABSTRACT

Similar documents
5. Lithography. 1. photolithography intro: overall, clean room 2. principle 3. tools 4. pattern transfer 5. resolution 6. next-gen

Section 2: Lithography. Jaeger Chapter 2. EE143 Ali Javey Slide 5-1

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. The lithographic process

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. EE143 Ali Javey Slide 5-1

Lithography. 3 rd. lecture: introduction. Prof. Yosi Shacham-Diamand. Fall 2004

Module - 2 Lecture - 13 Lithography I

Part 5-1: Lithography

EE143 Fall 2016 Microfabrication Technologies. Lecture 3: Lithography Reading: Jaeger, Chap. 2

Optical Issues in Photolithography

Lecture 7. Lithography and Pattern Transfer. Reading: Chapter 7

Lecture 5. Optical Lithography

MICROCHIP MANUFACTURING by S. Wolf

Optical Microlithography XXVIII

Photolithography I ( Part 1 )

Lithography. Development of High-Quality Attenuated Phase-Shift Masks

What s So Hard About Lithography?

Major Fabrication Steps in MOS Process Flow

EE-527: MicroFabrication

Photolithography II ( Part 2 )

Intel Technology Journal

All-Glass Gray Scale PhotoMasks Enable New Technologies. Che-Kuang (Chuck) Wu Canyon Materials, Inc.

Newer process technology (since 1999) includes :

Synthesis of projection lithography for low k1 via interferometry

Optical Requirements

Micro- and Nano-Technology... for Optics

Femtosecond Pulsed Laser Direct Writing System for Photomask Fabrication

Clean Room Technology Optical Lithography. Lithography I. takenfrombdhuey

Optical Lithography. Here Is Why. Burn J. Lin SPIE PRESS. Bellingham, Washington USA

Module 11: Photolithography. Lecture 14: Photolithography 4 (Continued)

Optical Lithography. Keeho Kim Nano Team / R&D DongbuAnam Semi

Chapter 2 Silicon Planar Processing and Photolithography

Reducing Proximity Effects in Optical Lithography

State-of-the-art device fabrication techniques

Semiconductor Manufacturing Technology. Semiconductor Manufacturing Technology. Photolithography: Resist Development and Advanced Lithography

Lecture 13 Basic Photolithography

Copyright 2000, Society of Photo-Optical Instrumentation Engineers This paper was published in Optical Microlithography XIII, Volume 4000 and is made

EE 143 Microfabrication Technology Fall 2014

Photolithography 光刻 Part I: Optics

Copyright 2000 by the Society of Photo-Optical Instrumentation Engineers.

Nanoscale Lithography. NA & Immersion. Trends in λ, NA, k 1. Pushing The Limits of Photolithography Introduction to Nanotechnology

Micro- and Nano-Technology... for Optics

Near-field optical photomask repair with a femtosecond laser

Mutually Optimizing Resolution Enhancement Techniques: Illumination, APSM, Assist Feature OPC, and Gray Bars

Photolithography. References: Introduction to Microlithography Thompson, Willson & Bowder, 1994

Chapter 6. Photolithography

Microlens formation using heavily dyed photoresist in a single step

Process Optimization

COMPARISON OF ULTIMATE RESOLUTION ACHIEVED BY E-BEAM WRITERS WITH SHAPED BEAM AND WITH GAUSSIAN BEAM

Device Fabrication: Photolithography

1. INTRODUCTION ABSTRACT

Photolithography Technology and Application

MicroSpot FOCUSING OBJECTIVES

Supporting Information: Experimental. Demonstration of Demagnifying Hyperlens

Lecture 22 Optical MEMS (4)

The End of Thresholds: Subwavelength Optical Linewidth Measurement Using the Flux-Area Technique

Copyright 2000 by the Society of Photo-Optical Instrumentation Engineers.

Mask Technology Development in Extreme-Ultraviolet Lithography

Sub-50 nm period patterns with EUV interference lithography

Tutor43.doc; Version 8/15/03 T h e L i t h o g r a p h y E x p e r t (November 2003)

Module 11: Photolithography. Lecture11: Photolithography - I

THE CHARACTERIZATION OF CHROMELESS PHASE SHIFT MASK TECHNIQUE FOR SUB-45NM LITHOGRAPHY TAN SOON YOENG

Supplementary Figure 1 Reflective and refractive behaviors of light with normal

attosnom I: Topography and Force Images NANOSCOPY APPLICATION NOTE M06 RELATED PRODUCTS G

Optical lithography is the technique for

Project Staff: Timothy A. Savas, Michael E. Walsh, Thomas B. O'Reilly, Dr. Mark L. Schattenburg, and Professor Henry I. Smith

INTERNATIONAL TECHNOLOGY ROADMAP SEMICONDUCTORS 2001 EDITION LITHOGRAPHY FOR

ECSE 6300 IC Fabrication Laboratory Lecture 3 Photolithography. Lecture Outline

MICRO AND NANOPROCESSING TECHNOLOGIES

T in sec, I in W/cm 2, E in J/cm 2

Optolith 2D Lithography Simulator

T in sec, I in W/cm 2, E in J/cm 2

CHAPTER 2 POLARIZATION SPLITTER- ROTATOR BASED ON A DOUBLE- ETCHED DIRECTIONAL COUPLER

PHGN/CHEN/MLGN 435/535: Interdisciplinary Silicon Processing Laboratory. Simple Si solar Cell!

immersion optics Immersion Lithography with ASML HydroLith TWINSCAN System Modifications for Immersion Lithography by Bob Streefkerk

Copyright 1997 by the Society of Photo-Optical Instrumentation Engineers.

Chapter 6 Photolithography

Lecture 8. Microlithography

T in sec, I in W/cm 2, E in J/cm 2

Outline. 1 Introduction. 2 Basic IC fabrication processes. 3 Fabrication techniques for MEMS. 4 Applications. 5 Mechanics issues on MEMS MDL NTHU

16nm with 193nm Immersion Lithography and Double Exposure

Energy beam processing and the drive for ultra precision manufacturing

A process for, and optical performance of, a low cost Wire Grid Polarizer

Micro-Nanofabrication

Limits of Lithography

TECHNOLOGY ROADMAP 2006 UPDATE LITHOGRAPHY FOR

Dr. Dirk Meyners Prof. Wagner. Wagner / Meyners Micro / Nanosystems Technology

Integrated Focusing Photoresist Microlenses on AlGaAs Top-Emitting VCSELs

Lithography. International SEMATECH: A Focus on the Photomask Industry

EUV Substrate and Blank Inspection

INSPECTION AND REVIEW PORTFOLIO FOR 3D FUTURE

OPC Scatterbars or Assist Features

Copyright 2004 by the Society of Photo-Optical Instrumentation Engineers.

Confocal Imaging Through Scattering Media with a Volume Holographic Filter

FABRICATION OF CMOS INTEGRATED CIRCUITS. Dr. Mohammed M. Farag

Applications of Maskless Lithography for the Production of Large Area Substrates Using the SF-100 ELITE. Jay Sasserath, PhD

OPTI510R: Photonics. Khanh Kieu College of Optical Sciences, University of Arizona Meinel building R.626

Institute of Solid State Physics. Technische Universität Graz. Lithography. Peter Hadley

Chapter 15 IC Photolithography

In their earliest form, bandpass filters

Update on 193nm immersion exposure tool

Transcription:

NANOFABRICATION, THE NEW GENERATION OF LITHOGRAPHY Cheng-Sheng Huang & Alvin Chang ABSTRACT Fabrication on the micro- and nano-structure has opened the new horizons in science and engineering. The success of developing and producing integrated circuits and micro- and nano-device by using photolithography techniques is phenomenal. Nanofabrication is a gating technology for the achievement of all future advanced nano-devices. In the past two decades, photolithography has been widely used for the IC technology and micro-devices. However wavelength of photon and challenge to search for batter optics and resist materials limit the resolution of nano-structure made from lithography to about 100 nm. Extreme ultra violet lithography (EUV), electron beam lithography (EBL), and ion beam lithography (IBL) are few of many techniques that can breakthrough the 100 nm resolution limits. EUV is the successor to photolithography in the sub 100 nm realm in hope to overcome the limitation of photolithography. EBL is a promising technique to fabricate the nano-structure since electrons can be precisely focus down to achieve 0.1 nm resolution. However, EBL lacks in more defined resolution due to electron backscattering. In comparison, IBL has the advantage of limited scattering effects. Though EBL and IBL may be used to make special case nano-structure design, such kind of particle beam lithography is too expensive to be used to mass-produce nano-structures due to lack of efficiency in pixel to pixel patterning under vacuum condition. FABRICATION OF MICRO AND NANO STRUCTURES Computation devices such as CPU consist of many electrical circuits to make a bunch of logic gates. If such device was not micro fabricated, the size of one would be enormous. That kind of device would take time and money to build while the product takes lots of space to store. Fortunately, techniques have been developed to build smaller devices to work faster and better, and at the same time making the cost of production efficient by mass production. This process is known as photolithography, a microfabrication process.

PHOTOLITHOGRAPHY INTRODUCTION TO MICROFABRICATION, PHOTOLITHOGRAPHY Lithography is a word put together with Greek roots, meaning writing on stone. It is actually a form of art with a planographic process where the printing and the non-printing area are in the same level. Besides being a form of art, lithography also represents the technology of writing and printing micro and nano patterns that cannot be achieved by human hands. Within the field of lithography, there are many methods that can be used to produce micro and nano patterns. Therefore, prefixes are applied before lithography to introduce the method used to produce the patterns. The photolithography mentioned before is such fabrication process using photons, which is more commonly known as light. In the world of chemistry, there are chemicals that are sensitive to light, and there are chemicals that are not sensitive to light. During a light chemical reaction, it is possible for some chemical to degrade. Photolithography utilizes such chemicals to draw patterns. With the chemical that degrades under radiation of light, photoresists were made. On the other hand, with the chemical that does not degrade under radiation of light, masks were made. The first step of photolithography involves coating a surface with photoresists; for our discussion, we will pretend that we are the semiconductor industry, where the surface used is a silicon surface covered with oxides. Second, by radiating light on photoresists covered with mask, patterns can be transferred from the mask to the photoresists. In order to be precise, lenses were used to focus the light. Then there is the development process to remove oxides that are not covered with photoresists. Following that is the etching process that removes photoresists and the deposition process that deposits metal such as gold on the area where oxides do not exist. Finally, by removing the oxides, we obtain a pattern of metal deposited on the surface. Note that photons have more wave-like properties than particle-like properties. Therefore it is impossible focus photons as accurate as focusing a particle. The minimum resolution of the photolithography is given by the well-know Rayleigh scaling equation: W min = k 1 λ / NA DOF = λ / NA 2 Here the W min is the minimum linewidth, DOF is the depth of focus, λ is the wavelength of the exposing radiation, NA is the numerical aperture of a projection lens and k 1 is a process-dependent parameter.

The bigger DOF is desired to increase the tolerance of the process to deviation of the substrate surface from the perfect planarity. The k 1 is a useful factor of the degree of difficulty of printing a particular pattern. When k 1 > 0.8, the printing process is relatively easy. When k 1 shrinks, the process becomes less tolerant of any deviation and imperfection. When k 1 < 0.5, the use of Resolution Enhancement Technologies (RETs) approach is necessary. The numerical aperture of an optical system in air is obviously smaller than 1. While immersion lithography has been proven to provide a way of increasing NA beyond 1, more accurately, it should be described as a wavelength reduction from λ to λ/n, where n is the index of the liquid. Kang [3] showed that by using the technique, photolithography at 126 nm wavelength could meet the part of the needs for the future device generations. However, this approach is too clumsy for mass production application. The practical limits of NA are probably in the range of 0.7 to 0.8, considering the difficulty of lens fabrication with the required low aberrations over large field sizes. Also increasing NA will reduce the DOF, which will be an issue since the DOF is only 2λ for NA=0.71. In any case, improving resolution by increasing the NA of the lens system is tied to decreasing DOF, since DOF decreases as the square of NA. Up until now, photolithography has been extremely valuable since in the microfabrication process, light with wavelengths in the nanometer scale was used. This gives a lot of precision since the tool used for drawing is fine compare with the pattern drawn. ADVANCEMENT TO NANOFABRICATION FOR PHOTOLITHOGRAPHY Photolithography has been extremely useful in speeding up the development in the computation world. There is this theory that has not been void since its introduction; it is called Moore s Law, which states something like, the advancement of computing speed doubles once every eighteen months. One reason that this theory has not been void is that the microfabrication advancement has been able to keep up with the process. However, right now, it seems that unless there is some new advancements in the fabrication process, smaller devices cannot be fabricated any more. This is because we are, in this point of time, reducing the fabrication size from the micrometer scale down to the nanometer scale below 100 nm. The limitation of photolithography is what sets the barrier to prevent us to draw smaller structures. In the past, to fabricate smaller structures, smaller wavelength of light can be used. Until now, it has been

doing quite well above the 100 nm scale. Remember, the resolution drawn by photolithography is proportional to the wavelength, λ, of light used to irradiate. Therefore, there are a few problems associated with this decrease in wavelength. First, how can one obtain a shorter wavelength light source? Even if there is such light source, remember that such light has higher energy, which may damage or interact unfavorably with solid material such as masks. Also, it becomes harder and harder to focus the irradiating beam since the lenses used is opaque for low wavelength light. Note that almost all organic materials absorb low wavelength energy very well, so depth of penetration is a problem that needs to be overcome for a photoresist; the photoresist also has to be resistant to the etching process. Therefore, to improved resolution of photolithography, obstacles need to be removed; a few of these problems are: a suitable light source with a small wavelength, an optical lens that focus the light generated from the light source, a mask that is resistant to the light source, and a photoresist that can be applied as a thin layer that is resistant to etching. RESEARCH RESULTS ON THE ADVANCEMENT OF PHOTOLITHOGRAPHY Radiation Light Source: To even think about photolithography in the sub 100 nm realm, a suitable radiation source needs to be developed. Since without such radiation source with a smaller wavelength, there is no way to study the difficulties come with the radiation source. Over the past two decades, the search for radiation source with smaller wavelength has gradually reduced the wavelength from visible G-line (436 nm) to I-line (365 nm) to so-called deep-uv emission (DUV) of KrF excimer lasers (248 nm) and even to deeper UV emission of ArF excimer lasers (193 nm). Then there is the ArF light source with a wavelength of 140 nm in the extreme ultra violet region (EUV). Such study continues and more and more EUV light source with sub-193 nm photolithography development such as, F2 laser (157 nm) [1,2], Ar2 (126 nm) [3], Lyman alpha (121 nm) [4], and clustered Xenon [15]. However, the present researches are still not mature enough to make volume production. Optical Material: One of the biggest challenges to implement the post-193 nm photolithography is the high quality lens materials necessary to focus light source. Fused silica is currently used for 248 and 193nm optics; however, it is too opaque for post-193 nm photolithography. The common candidates for post-193 nm photolithography are calcium fluoride (CaF2), lithium fluoride (LiF) and magnesium fluoride (MgF2). In [1] and [4], the transmission of the CaF2 and LiF have been studied, yet the high absorption in these two are still unresolved. Mask Material: For low wavelength light, a reflective material is used as the mask. It consists of patterned absorbers of radiation placed on top of a multi layered (ML) reflector deposited on robust and

solid substrate [15]. However, the mask development faces many difficulties. One of the difficulties is that the mask must be free of defect. Therefore, a technique in depositing defect free ML reflectors need to be developed. Magnetron sputtering is a current method of depositing ML coating, but the defect density it creates is too high for mask blanks. Therefore, a cleaner deposition system that uses ion beam sputtering has been constructed, and this method reduces the density of defects significantly. However, further improvements need to be made. Figure 1: How the multilayer reflector consists of alternating silicon and molybdenum layers look like. Note that each Si-Mo layer is only about 30 atoms thick, about 13 nm. Photoresist Material: The new wavelength of post-193 nm will require the feasibility of developing new suitable resists. Two opposing requirements have to be taken into account: the absorption coefficient imposes a maximum thickness and the need for the defect-free films defines a lower limit on the thickness. In [1], the author suggests that the fluorinated polymers and some organsilicon films may serve as the basis for 157 nm imaging layer in the range of 100-200 nm. In [4], the author indicates that the photoresist at 121 nm must be very thin, around 20-40 nm. However, both papers claim that the little information and literature are presently available on these issues, and the photoresists require more studies. An effort is also underway to explore the inorganic resist materials, such as silver halide material, to replace the polymerical resist material but still need to solve the encountering problems in sub-193 nm region. The author form [1] claimed that printing feature size is around 45 nm and from [2], demonstrated the feature size is about 55 nm. RELATED TO PHOTOLITHOGRAPHY All of the above discussion does not significantly change the nature of the photolithographic process, except [3] using immersion technique, which is beyond the scope of this paper. Practically speaking, the maximum NA will not be larger than 0.8 [5], so the resolving power of projection optics have certain limit; hence, wavelength scaling will not be sufficient to achieve the submicrometer resolutions required in the future. The reason for this can be seen in the figure 1 [6]. The y-axis is the intensity at the surface of the photoresist wafer. As we simply scaling down the wavelength, the accompanying reduce of image contrast occurs. To resolve this issue, new mask technologies will be required.

Figure 2: Comparison of image contrast for a 250 nm line/space array (248 nm exposure, 0.6 numerical aperture) (left curve) and a 130 nm line/space array (193 nm exposure, 0.6 numerical aperture) (right curve) RESOLUTION ENHANCEMENT TECHNOLOGIES (RETS): Traditional methods of imaging scaling, depending on decreasing exposure source wavelength and increasing the numerical aperture, were not available to make this Moore s law shrink. Another way to increase contrast in the aerial image is to modify the mask and the illumination system. This is known in the field as resolution enhancement techniques or wavefront engineering. In this paper we will discuss about the phase-shifting masks (PSMs) and optical proximity correction (OPC) techniques. This techniques are essential for the optical lithography especially in the range of subwavelength scale despite the shorter wavelength exposure tools. OPTICAL PROXIMITY CORRECTION: Nowadays the critical dimensions on the wafer are far below the wavelength of the light used to manufacture them. The optical distortions and other defects result in that the wafer images can be printed very different from what they are on the mask. We can overcome this problem by predicting the loss of fidelity up-front, then modify the design to the mask to compensate it. The deliberate distortion of mask shapes in order to compensate the systematic patterning inaccuracy is termed optical proximity correction (OPC) [7]. These corrections are made either according to the predetermined rules (Rule based OPC) or the model simulation (Model based OPC). There are many approaches to the optical proximity correction. One of the approaches is to modify the light intensity distribution. Measuring the

aerial image for a mask reveals that the optical proximity is caused by distortion of light intensity distribution, which is too strong in some parts and too weak in some other parts. OPC WITH ASSISTANT FEATURES In order to modify the light intensity distribution, we can put the assistant features, which are both transparent and opaque in either surrounding or inside the original patter depending on the intensity distribution. The original pattern is shown in Fig. 3a and the comparison of aerial image contour with the original pattern as shown in Fig. 3b. Figure 3a: A opaque mask feature (0.4 µm). pattern. Figure 2b. Comparison of aerial image. contour with the original By adding the additional feature to the original mask as shown in Fig. 4a, it really improves the corner rounding and line-end shortening as shown in Fig. 4b. Figure 4a: Mask design with assistant clear and opaque features (1, 4, 3, 5 are clear features inside the original mask design. Figure 4b: Comparison of aerial image contour after OPC with the original design.

OPC with grey tone features Instead of adding assistant feature to the mask, we can approximate the intensity distribution by modulating the light transmission at different parts of a mask design, which is the concept of grey tone photolithography [9]. The opaque features have a large number of transparent pixels inside as shown in Fig. 5a [9]. The grey tone level is control by the density and size of these clear pixels. An example of mask with grey tone feature is shown on Fig. 5b [9]. The optimum transmission at different parts of a feature pattern depends on the feature density, which has to be calculated based on aerial image simulation. Fig. 6a is a mask feature and Fig. 6b is the OPC with grey tone modification of the original feature design. The aerial image contour for the uncorrected feature is shown in Fig 7a. and the one with the grey tone correction is shown in Fig. 7b. We can see the deviation for the feature with grey tone correction is much less than the one without correction. Figure 5a: Grey tone coding. Figure 5b: An OPC mask by grey tone coding. Figure 6a: A opaque mask feature (0.4 µm). Figure 6b: OPC with grey tone modification.

Figure 7a: Aerial image contour before the grey tone OPC and the original feature. Figure 7b: Aerial image contour after the grey tone OPC and the original feature. The key question is how to fabricate the gery tone mask. One way is to use the High Energy Beam Sensitive (HEBS) glass as a photomask and the gery levels are generated by electron irradiation of the glass substrate [10]. Another way is to modulate the density of chrome pixels to achieve the modulation of light transmission through the mask feature [11]. Phase-shifting mask: Phase-shift mask provides significantly greater improvements in resolution than OPC. Traditional binary intensity masks (BIMs) consist of opaque chromium lines on transparent glass substrates. They modulate the intensity of the light without affecting their phase. Phase-shifting masks utilize the optical interference to improve the quality of the image projected on the wafer. The original idea of an optical phase-shifting mask for lithograph was from Levenson [12] in the U.S. and Shibuya [13] in Japan independently and almost simultaneously. Figure 8. Comparison of conventional binary chrome-on-quartz mask with an alternating aperture phase mask.

Left part of Figure 8 [6] illustrates that for the traditional masks, when the light images from the adjacent apertures overlap to degrade the aerial image. The phase of the electric field from the adjacent apertures are identical; hence, the constructive interference between two apertures maximizes the intensity. This results that the features are too small to resolve for the optical system; thereby, reducing the resolution. On the other hand, if we can arrange that the phase of the electric field is 180 out of phase with adjacent aperture, then destructive interference will minimize the intensity between their images. In this case, the interference effects enhance the resolution, with brighter spaces and darker lines. The 180 phase shift can be achieved by changing the thickness of the transparent regions of the mask, either by adding a phase-shifting layer (as shown above) or by removing a thin layer form the mask substrate. An example in Fig. 9 [4], a transparent MgF 2 mask with steps whose height corresponds to 180 phase shift. The height is given by λ/[2(n-1)], which is 99 nm for the 121.6 nm wavelength and a refractive index n=1.61 of MgF 2. The method is the near-field chromeless projection phase-shifting lithography and it has been shown to be able to print the feature of dimensions approximately one third of the wavelength. For 121 nm, the resolution is about 40 nm as shown is Fig. 9. The steps in MgF 2 were formed by patterning gratings in photoresist using I-line contact lithography. Details can be found in [8]. Figure 9: Schematic of near-field contact photolithography with phase-shifting mask and scanning electron micrographs of the 43 nm edges printed with such a mask. There are number of different types of phase-shift masks besides the alternating type ascribed above. Other types phase-shift mask like attenuated mask [14] or polarized phase-shift masks.

ALTERNATIVE NANOFABRICATION PROCESS Nanofabrication process such as Extreme Ultra Violet Light Lithography (EUV) is not a technology that evolved from nothing. It took decades of photolithography advancements before reaching this stage using a light source with smaller wavelength. Although EUV has demonstrated its ability to draw fine lines down to the 43 nm, one may wonder whether it is possible to improve further. Aside from EUV, the next generation of photolithography, there are other efforts to develop other types of next generation lithography such as x-ray lithography, electron beam lithography (EBL), and ion beam lithography (IBL). In this later half of the report, we will discuss EBL and IBL. X RAY LITHOGRAPHY X ray lithography is similar with photolithography in its use of mask. One major advantage of X ray lithography is that it uses a source of particle with wavelength on the order of 0.01 to 1.0 nm. This small wavelength allows X ray to draw more defined patterns than photolithography using EUV. X ray lithography does not need to use an optical system to focus the beam. However, it relies on its mask to draw the well defined patterns. The mask has to have very well defined structures with patterns that can withstand the radiation of X ray. PARTICLE BEAM LITHOGRAPHY WITH ELECTRON (EBL) AND ION (IBL) We attempt to structure patterns in the nanometer scale below 100 nm, therefore, to do that, we need a writing device that is small enough to fit through out it. If one goes for the extreme, he or she will use the smallest particle, which is an electron. The dimension of an electron is small, which gives the electron the potential to be used in a lithographical process. In fact, it has been used in the past in photolithography as a method to write the patterns on the mask. Writing patterns with electron is not a new technology, and it may actually be as mature as the EUV lithography. Electron beam lithography works similar with EUV and any other photolithography except that there is no mask. The tool that is used to write is a scanning electron laser, and the substrate that the electron laser writes on is the resist, which is usually PMMA. Figure 10 illustrates a simple diagram of how electron beam lithography works

Figure 10. How EBL works with electron beam irradiation, development, metallization, and liftoff to leave metal patterns on silicon wafer. For patterning, electron beams need to be focused to write. Since electrons are charged particles that can be controlled by electrical magnetic waves, focusing the electrons down to sub nanometer precision is possible. This aspect makes electron beam lithography extremely attractive since it if it is possible to pattern structures in the sub 100 nm domain to 0.1 nm resolution. Of course, electrons are tiny particles without much mass, so electrons are easily scattered by other molecules. For that reason, EBL can only be carried out under vacuum condition as gas molecules can easily scatter an electron. Unfortunately, scattering also occurs when the electron comes in contact with the substrate, which is one of the biggest problems for EBL. Considering that the electron has no where to go once it is radiated on the substrate, it is possible for it to splatter around before it looses excess energy, but during that time, the electrons would have done damages to the resist in an undesirable fashion. Also, since the electrons were charged up to high energy in order to degrade photoresists, it takes time for the electron to discharge; this limits the electrons deposition rate since excess electrons bombarding the photoresists at the same time would definitely result in excess scattering to give undesirable patterns. To eliminate this problem, a way to conduct the electron away after degrading the resist at the specified location is necessary. Like electron beam lithography, ion beam lithography utilizes a charged particle. The only difference is that IBL utilizes an ionic beam source rather than an electron beam source. The charged particle used by IBL is larger and heavier than electron, which induces less scattering when being bombarded on the photoresist to give better resolution. Both particle beam lithographic techniques have been used for patterning. However, neither of them was used for mass production of patterns. This brings us to the biggest problem these particle beam lithographic techniques encounter, lack of efficiency. Since both EBL and IBL requires great control over the magnetic field, it is not possible to write a lot of patterns at the same time. This leaves us with the only option, writing pixel by pixel. Also, since high energy is necessary to charge up the particle and a vacuum chamber is required, the cost of production with EBL and IBL is quite high.

Conclusion: Figure 11 illustrates the four variety of next generation lithography in comparison of their resolution and penetration. Figure 11. This figure outlines the resolution and penetration of four next generation lithography. Note that Photolithography can go with or without mask, but it is focused using optical lenses. There is no such thing as the best next generation lithography. Each lithographic technique is unique with its advantage and limitation. For mass production of nano-structures, EUV seems to be the way to go, but it will be difficult for the amount of limitations that must be overcome. EBL and IBL have no problem in drawing sub 100 nm structures, but the lack of efficiency is its downfall. Perhaps one of these two fields of lithographic technique will prevail in the future as the more feasible lithographic technique, or perhaps something else that is to come will have the winning edge against the existing methods.

Reference: [1] T. M. Bloomstein et al, Critical issue in 157 nm lithography, Journal of Vacuum Science and Technique B., Vol. 16(6), pp. 3154-3157, 1998. [2] T. Itani et al, Effect of high numerical aperture lens on lithographic performance in 157 nm lithography, Journal of Vacuum Science and Technique B., Vol. 20(6), pp. 2562-2566, 2002. [3] H. Kang et al, Optical lithography at a 126 nm wavelength, SPIE Vol. 4343, 2001. [4] V. Liberman et al, Prospects for Photolithography at 121 nm, Journal of Vacuum Science and Technique B., Vol. 20(6), pp 2567-2573,2002. [5] M. D. Levenson, Solid Sate Technology, 38, 57, 1995 [6] G. M. Wallraff and W. D. Hinsberg, Lithographic Imaging Techniques for the Formation on Nanoscopic Features, Chemical Reviews, Vol. 99, pp. 1801-1821, 1999. [7] A. B. Kahng and Y.C. Pati, Subwavelength Optical Lithography: Challenges and Impact on Physical Design, Proceeding of ACM Intl. Symp. On Physical Design, pp.112-119, 1999. [8] J. Du et al, New approaches to optical proximity correction in photolithography, Microelectronic Engineering, Vol. 41, no. 1, pp.73-76, 1999. [9] Z. Cui et al, Optical proximity correction by Gery tone photolithography, Microelectronic Engineering, Vol. 53, no. 1, pp.153-156, 2000. [10] C. Wu., U.S. Patent N0.5,078,711 (1992). [11] K. Reimer et al, SPIE 3008, 279, 1997 [12] M. D. Levenson et al, Improving resolution in photolithography with a pahse-shifting mask, IEEE Transactions on Electron Devices, ED-29, pp. 1828-1836, 1982. [13] M. Shibuya, Projection master for transmitted illumination, Japanese Patent Gazette # Showa 62-50811, application dated 9/30/80, issued 10/27/87. [14] J. B. Lin, Solid State Technology, 35, 43, 1992 [15] J. E. Bjorkholm, EUV Lithography The Successor to Optical Lithography, Intel Technology Journal, 1998