Critical Dimension Enhancement of DUV Photolithography on the ASML 5500/300. Francesca Calderon Miramonte High School August 13th, 2015

Similar documents
i- Line Photoresist Development: Replacement Evaluation of OiR

Optolith 2D Lithography Simulator

Copyright 1997 by the Society of Photo-Optical Instrumentation Engineers.

DOE Project: Resist Characterization

Tutor43.doc; Version 8/15/03 T h e L i t h o g r a p h y E x p e r t (November 2003)

(ksaligner & quintel resolution)

Photolithography I ( Part 1 )

Reducing Proximity Effects in Optical Lithography

Copyright 2000, Society of Photo-Optical Instrumentation Engineers This paper was published in Optical Microlithography XIII, Volume 4000 and is made

MEMORANDUM. This is a summary of the activities and projects that I was involved in during 2009.

Process Optimization

Lithographic Process Evaluation by CD-SEM

Key Photolithographic Outputs

What s So Hard About Lithography?

MICROBUMP LITHOGRAPHY FOR 3D STACKING APPLICATIONS

MICRO AND NANOPROCESSING TECHNOLOGIES

optical and photoresist effects

MICROCHIP MANUFACTURING by S. Wolf

Depth of Focus, part 2

Lithographic Performance of a New Generation i-line Optical System: A Comparative Analysis. Abstract

Using the Normalized Image Log-Slope, part 2

Resolution. T h e L i t h o g r a p h y E x p e r t (Winter 1997) Chris A. Mack, FINLE Technologies, Austin, Texas

Photolithography II ( Part 2 )

immersion optics Immersion Lithography with ASML HydroLith TWINSCAN System Modifications for Immersion Lithography by Bob Streefkerk

1. INTRODUCTION 2. SCATTEROMETRY BASICS ABSTRACT

Analysis of Focus Errors in Lithography using Phase-Shift Monitors

Optical Proximity Effects, part 2

Optimizing FinFET Structures with Design-based Metrology

Effect of Reticle CD Uniformity on Wafer CD Uniformity in the Presence of Scattering Bar Optical Proximity Correction

Experimental measurement of photoresist modulation curves

Discovering Electrical & Computer Engineering. Carmen S. Menoni Professor Week 3 armain.

OPC Rectification of Random Space Patterns in 193nm Lithography

Contrast Enhancement Materials CEM 365HR

In-line focus monitoring and fast determination of best focus using scatterometry

Characterization Study of an Aqueous Developable Photosensitive Polyimide on 300 mm Wafers

Copyright 2000 by the Society of Photo-Optical Instrumentation Engineers.

The End of Thresholds: Subwavelength Optical Linewidth Measurement Using the Flux-Area Technique

CONTACT HOLE IMAGING AT THE 0.13 µm NODE USING KrF LITHOGRAPHY

Resist Process Window Characterization for the 45-nm Node Using an Interferometric Immersion microstepper

Immersion Lithography: New Opportunities for Semiconductor Manufacturing

Photolithography Technology and Application

Critical dimension sensitivity to post-exposure bake temperature variation in EUV photoresists

Contrast Enhancement Materials CEM 365iS

ECSE 6300 IC Fabrication Laboratory Lecture 3 Photolithography. Lecture Outline

Holistic View of Lithography for Double Patterning. Skip Miller ASML

Lithography. 3 rd. lecture: introduction. Prof. Yosi Shacham-Diamand. Fall 2004

Photolithography. References: Introduction to Microlithography Thompson, Willson & Bowder, 1994

Major Fabrication Steps in MOS Process Flow

Copyright 2004 by the Society of Photo-Optical Instrumentation Engineers.

Double Patterning Combined with Shrink Technique to Extend ArF Lithography for Contact Holes to 22nm Node and Beyond

Optical Requirements

(Ar [ Si O Si O] m )n

Lecture 7. Lithography and Pattern Transfer. Reading: Chapter 7

Optical Proximity Effects

17th Annual Microelectronic Engineering Conference, May 1999

Double Exposure Using 193nm Negative Tone Photoresist

Innovative Mask Aligner Lithography for MEMS and Packaging

Optical Lithography. Keeho Kim Nano Team / R&D DongbuAnam Semi

Results of Proof-of-Concept 50keV electron multi-beam Mask Exposure Tool (emet POC)

Imec pushes the limits of EUV lithography single exposure for future logic and memory

EE143 Fall 2016 Microfabrication Technologies. Lecture 3: Lithography Reading: Jaeger, Chap. 2

Characterization of a Thick Copper Pillar Bump Process

Line End Shortening. T h e L i t h o g r a p h y E x p e r t (Spring 2000) Chris A. Mack, FINLE Technologies, Austin, Texas

Shot noise and process window study for printing small contacts using EUVL. Sang Hun Lee John Bjorkohlm Robert Bristol

THE USE OF A CONTRAST ENHANCEMENT LAYER TO EXTEND THE PRACTICAL RESOLUTION LIMITS OF OPTICAL LITHOGRAPHIC SYSTEMS

UV LED ILLUMINATION STEPPER OFFERS HIGH PERFORMANCE AND LOW COST OF OWNERSHIP

Microlens formation using heavily dyed photoresist in a single step

TSMC Property. EUV Lithography. The March toward HVM. Anthony Yen. 9 September TSMC, Ltd

Trimming Lithography: An Alternative Technology for Sub-Resolution and Sub-Wavelength Patterning

Lecture 5. Optical Lithography

Application-Based Opportunities for Reused Fab Lines

450mm and Moore s Law Advanced Packaging Challenges and the Impact of 3D

1X Broadband Wafer Stepper for Bump and Wafer Level Chip Scale Packaging (CSP) Applications

Optical Issues in Photolithography

Horizontal-Vertical (H-V) Bias

INTERNATIONAL TECHNOLOGY ROADMAP SEMICONDUCTORS 2001 EDITION LITHOGRAPHY FOR

Pattern Transfer CD-AFM. Resist Features on Poly. Poly Features on Oxide. Quate Group, Stanford University

Hypersensitive parameter-identifying ring oscillators for lithography process monitoring

Development of a LFLE Double Pattern Process for TE Mode Photonic Devices. Mycahya Eggleston Advisor: Dr. Stephen Preble

OPTICAL LITHOGRAPHY INTO THE MILLENNIUM: SENSITIVITY TO ABERRATIONS, VIBRATION AND POLARIZATION

Light Source Technology Advances to Support Process Stability and Performance Predictability for ArF Immersion Double Patterning

Part 5-1: Lithography

Copyright 1998 by the Society of Photo-Optical Instrumentation Engineers.

Organic Antireflective Coatings for Photomask Fabrication using Optical Pattern Generators

Semiconductor Manufacturing Technology. Semiconductor Manufacturing Technology. Photolithography: Resist Development and Advanced Lithography

MeRck. AZ nlof technical datasheet. Negative Tone Photoresist for Single Layer Lift-Off APPLICATION TYPICAL PROCESS. SPIN CURVE (150MM Silicon)

Module 11: Photolithography. Lecture 14: Photolithography 4 (Continued)

Synthesis of projection lithography for low k1 via interferometry

MeRck. nlof 2000 Series. technical datasheet. Negative Tone Photoresists for Single Layer Lift-Off APPLICATION TYPICAL PROCESS

PHGN/CHEN/MLGN 435/535: Interdisciplinary Silicon Processing Laboratory. Simple Si solar Cell!

Optical Microlithography XXVIII

Applications of Maskless Lithography for the Production of Large Area Substrates Using the SF-100 ELITE. Jay Sasserath, PhD

5. Lithography. 1. photolithography intro: overall, clean room 2. principle 3. tools 4. pattern transfer 5. resolution 6. next-gen

A Reliable Higher Power ArF Laser with Advanced Functionality for Immersion Lithography

Immersion Lithography Defectivity Analysis at DUV Inspection Wavelength

PROCEEDINGS OF SPIE. Evolution in the concentration of activities in lithography

Linewidth control by overexposure in laser lithography

Photoresist Absorbance and Bleaching Laboratory

IMEC update. A.M. Goethals. IMEC, Leuven, Belgium

Shooting for the 22nm Lithography Goal with the. Coat/Develop Track. SOKUDO Lithography Breakfast Forum 2010 July 14 (L1)

Transcription:

Critical Dimension Enhancement of DUV Photolithography on the ASML 5500/300 Francesca Calderon Miramonte High School August 13th, 2015 1

g-line - 436 nm i-line - 365 nm DUV - 248 nm DUV - 193 nm resolution ~ λ /2NA [1] Krassenstein, Brian. "The Moore s Law of 3D Printing Yes It Does Exist, And Could Have Staggering Implications." 3DPrintcom. N.p., 28 June 2014. Web. 12 Aug. 2015. 2

Photolithography Review Bottom Anti Reflective Coating - svgcoat3 Photoresist Coat - svgcoat6 DUV Exposure - asml300 Develop in MF26 - svgdev6 UV stabilize - axcelis/uv bake [2] "Semiconductor Lithography." The Basics of Microlithography. N.p., 23 Nov. 2006. Web. 03 Aug. 2015. 3

Background and History It is understood that the current resolution limit of the asml300 is 250 nm The past baseline CMOS runs have successfully made transistors with 350 nm features Similar systems in other labs have been shown to go down to 200 nm for an isolated line 4

Background: Optical Coln in Stepper [3] Ito, Takashi, and Shinji Okazaki. Nature. N.p., 31 Aug. 2000. Web. 12 Aug. 2015. 5

Theory: Annular Illination [3] Ito, Takashi, and Shinji Okazaki. Nature. N.p., 31 Aug. 2000. Web. 12 Aug. 2015. 6

Goals Characterize properties of UV210-0.3, a new photoresist generate a process specification for lab members to reference Determine the minim feature size that can be produced on the asml300 Focus-exposure matrices and inspection with leo SEM Characterize off-axis illination and variable nerical aperture Bossung and exposure latitude plots 7

Tools Qualified On : svgcoat/dev6 svgcoat3 axcelis uvbake asml300 8

Tools Qualified On : nanospec matrix asiq primeoven leo 9

Experimental Method - Photoresist Characterization 1. Coated wafers at different spin speeds and measured film thickness generate spin speed curve 2. Decided upon a spin speed to achieve a targeted film thickness 3. Created a process specification to define the final process 4. Ran process wafers to populate the process specification 10

Experimental Results - Photoresist Characterization The vendor data sheet provided a spin speed curve that closely matched our experimental results on svgcoat6 11

Experimental Results - Process Specification 12

Experimental Method - Critical Dimension Enhancement 1. Ran focus-exposure matrices 2. Measured linewidth with the leo SEM 3. Created Bossung and exposure latitude plots 4. Determined ideal imaging conditions to resolve 150 nm isolated lines 5. Patterned whole wafers with ideal conditions to make sure the results were repeatable 13

Intro to Bossung Plots What is important: 1. A change in exposure dose results in the smallest possible change in linewidth - ΔY 2. A change in focus results in the smallest possible change in linewidth - slope 14

Intro to Exposure Latitude Plots What is important: 1. A change in focus dose results in the smallest possible change in linewidth - ΔY 2. A change in exposure results in the smallest possible change in linewidth - slope 15

Experimental Results - Conventional Imaging Dose: 13 Focus: -0.23 Enhancement: none Exposure Latitude: 186 nm - 117 nm = 69 nm 16

Experimental Results - Critical Dimension Enhancement Dose: 16 Focus: -0.23 Enhancement: NA = 0.6 Outer = 0.855 Inner = 0.550 Exposure Latitude: 151.5 nm - 142 nm = 9.5 nm 17

Experimental Results - Critical Dimension Enhancement Dose: 16 Focus: -0.23 Enhancement: NA = 0.6 Outer = 0.755 Inner = 0.450 Exposure Latitude: 161 nm - 157.5 nm = 3.5 nm 18

Chosen Image Settings Exposure: 16 Focus: -0.23 microns Nerical Aperture: 0.6 Annular Condition 1: Sigma Inner: 0.550 Sigma Outer: 0.855 Annular Condition 2: Sigma Inner: 0.450 Sigma Outer 0.755 19

Experimental Results : Wafer Scale Performance, CD = 150 nm 21 locations per wafer were measured Best case imaging was observed with the small annular ring. It produced an average line width of 150.3 nm, with a 90.5% yield. 20

Experimental Results : UV210-0.3 Cross-sectional Profiles line width = 152 nm sidewall angle = 90º aspect ratio ~ 2.5:1 Imaging conditions: 16, -0.23, NA=0.6 Sigma Outer=0.855 Sigma Inner=0.55 21

Conclusion 150 nm lines have been resolved in 3800 Å thick UV210-0.3 photoresist The results found are consistent from wafer-towafer and uniform across a wafer CD fidelity has good accuracy with the average line width less than 1 nm from target and a standard deviation approximately 11 nm 22

Acknowledgements - Thank You! Jeff Clarkson Kim Chan Irving Garduno Greg Mullins David Lo Cheryl Chang Marilyn Kushner Bill Flounders 23

24