Modeling of EUV photoresists with a resist point spread function

Similar documents
Critical dimension sensitivity to post-exposure bake temperature variation in EUV photoresists

Lithographic measurement of EUV flare in the 0.3-NA Micro Exposure Tool optic at the Advanced Light Source

Synthesis of projection lithography for low k1 via interferometry

Experimental measurement of photoresist modulation curves

Resist Process Window Characterization for the 45-nm Node Using an Interferometric Immersion microstepper

Reducing Proximity Effects in Optical Lithography

Preparations for EUV Interferometry of the 0.3 NA MET Optic. Kenneth A. Goldberg, Patrick P. Naulleau, Paul E. Denham, Senajith B.

First lithographic results from the extreme ultraviolet Engineering Test Stand

Zoneplate lenses for EUV microscopy. EUVL workshop 2009 Iacopo Mochi, Kenneth A. Goldberg, Erik H. Anderson, Sungmin Huh

At-wavelength characterization of the EUV Engineering Test Stand Set-2 optic

Adding static printing capabilities to the EUV phase-shifting point diffraction interferometer

Comparative Study of Mask Architectures for EUV Lithography

Shot noise and process window study for printing small contacts using EUVL. Sang Hun Lee John Bjorkohlm Robert Bristol

EUV Resists: Pushing to the Extreme

Optical Proximity Effects

Diffractive optical elements and their potential role in high efficiency illuminators

1. INTRODUCTION 2. SCATTEROMETRY BASICS ABSTRACT

Diffractive optical elements based on Fourier optical techniques: a new class of optics for extreme ultraviolet and soft x-ray wavelengths

Optical Proximity Effects, part 2

Copyright 2000 by the Society of Photo-Optical Instrumentation Engineers.

Tutor43.doc; Version 8/15/03 T h e L i t h o g r a p h y E x p e r t (November 2003)

The End of Thresholds: Subwavelength Optical Linewidth Measurement Using the Flux-Area Technique

MASK 3D EFFECTS FIRST EXPERIMENTAL MEASUREMENTS WITH NA 0.55 ANAMORPHIC IMAGING

Extreme ultraviolet interference lithography with incoherent light

Impact of 3-D Mask Effects on CD and Overlay over Image Field in Extreme Ultraviolet Lithography

OPC Rectification of Random Space Patterns in 193nm Lithography

Optical Proximity Effects, part 3

Comparison of actinic and non-actinic inspection of programmed defect masks

EUV Micro-Exposure Tool (MET) for Near-Term Development Using a High NA Projection System

Copyright 2002 by the Society of Photo-Optical Instrumentation Engineers.

Line End Shortening. T h e L i t h o g r a p h y E x p e r t (Spring 2000) Chris A. Mack, FINLE Technologies, Austin, Texas

Double Exposure Using 193nm Negative Tone Photoresist

Effect of Reticle CD Uniformity on Wafer CD Uniformity in the Presence of Scattering Bar Optical Proximity Correction

Hypersensitive parameter-identifying ring oscillators for lithography process monitoring

Mutually Optimizing Resolution Enhancement Techniques: Illumination, APSM, Assist Feature OPC, and Gray Bars

16nm with 193nm Immersion Lithography and Double Exposure

OPTICAL LITHOGRAPHY INTO THE MILLENNIUM: SENSITIVITY TO ABERRATIONS, VIBRATION AND POLARIZATION

Line End Shortening, part 2

Copyright 1997 by the Society of Photo-Optical Instrumentation Engineers.

Optical Performance of Nikon F-Mount Lenses. Landon Carter May 11, Measurement and Instrumentation

Present Status of the ASET At-Wavelength Phase-Shifting Point Diffraction Interferometer

LITHOGRAPHIC LENS TESTING: ANALYSIS OF MEASURED AERIAL IMAGES, INTERFEROMETRIC DATA AND PHOTORESIST MEASUREMENTS

Optolith 2D Lithography Simulator

Feature-level Compensation & Control

IMPACT Lithography/DfM Roundtable

Reflection mode imaging with nanoscale resolution using a compact extreme ultraviolet laser

Key Challenges in EUV Mask Technology: Actinic Mask Inspection and Mask 3D Effects. Yow-Gwo Wang

Improving registration metrology by correlation methods based on alias-free image simulation

Inspection of templates for imprint lithography

Optical Microlithography XXVIII

Using the Normalized Image Log-Slope, part 2

Lithography Simulation Tools Needed for 22nm HP and Beyond. Chris Mack

Linewidth control by overexposure in laser lithography

Flare compensation in EUV lithography

Mirror-based pattern generation for maskless lithography

EUVL Activities in China. Xiangzhao Wang Shanghai Inst. Of Opt. and Fine Mech. Of CAS. (SIOM) Shanghai, China.

k λ NA Resolution of optical systems depends on the wavelength visible light λ = 500 nm Extreme ultra-violet and soft x-ray light λ = 1-50 nm

R&D Status and Key Technical and Implementation Challenges for EUV HVM

optical and photoresist effects

The Coherent EUV Scatterometry Microscope for Actinic Mask Inspection and Metrology

Interferometric Lithography Optical System Utilizing a 442nm HeCd Laser

Process Window OPC Verification: Dry versus Immersion Lithography for the 65 nm node

Copyright 2000 by the Society of Photo-Optical Instrumentation Engineers.


immersion optics Immersion Lithography with ASML HydroLith TWINSCAN System Modifications for Immersion Lithography by Bob Streefkerk

In-line focus monitoring and fast determination of best focus using scatterometry

IMEC update. A.M. Goethals. IMEC, Leuven, Belgium

Comparative Study of Binary Intensity Mask and Attenuated Phase Shift Mask using Hyper-NA Immersion Lithography for Sub-45nm Era

Sub-50 nm period patterns with EUV interference lithography

Managing Within Budget

Optics for EUV Lithography

MICROBUMP LITHOGRAPHY FOR 3D STACKING APPLICATIONS

Registration performance on EUV masks using high-resolution registration metrology

Illumination spectral width impacts on mask error enhancement factor and iso-dense bias in 0.6NA KrF imaging

More on the Mask Error Enhancement Factor

Purpose: Explain the top advanced issues and concepts in

Projection Systems for Extreme Ultraviolet Lithography

Simulation of Quartz phase etch affect on performance of ArF chrome-less hard shifter for 65-nm technology

Lithography. 3 rd. lecture: introduction. Prof. Yosi Shacham-Diamand. Fall 2004

Actinic Review of EUV Masks: Performance Data and Status of the AIMS TM EUV System

2009 International Workshop on EUV Lithography

A Study of Wafer Plane Analysis with Mask MVM-SEM using 2D and 3D Images

Optimizing FinFET Structures with Design-based Metrology

Fabrication and alignment of 10X-Schwarzschild optics for F2X experiments

Impact of EUV photomask line edge roughness on wafer prints

Recent Activities of the Actinic Mask Inspection using the EUV microscope at Center for EUVL

Actinic Review of EUV Masks: Status and Recent Results of the AIMS TM EUV System

Projection Optics for Extreme Ultraviolet Lithography (EUVL) Microfield Exposure Tools (METs) with a Numerical Aperture of 0.5

EUV Substrate and Blank Inspection

Horizontal-Vertical (H-V) Bias

Copyright 2000, Society of Photo-Optical Instrumentation Engineers This paper was published in Optical Microlithography XIII, Volume 4000 and is made

Feature-level Compensation & Control

Optical Lithography. Here Is Why. Burn J. Lin SPIE PRESS. Bellingham, Washington USA

Performance data of a new 248 nm CD metrology tool proved on COG reticles and PSM s

Extreme Ultraviolet Lithography for 0.1 pm Devices

A Study of Slanted-Edge MTF Stability and Repeatability

Design Rules for Silicon Photonics Prototyping

Analysis of Focus Errors in Lithography using Phase-Shift Monitors

A process for, and optical performance of, a low cost Wire Grid Polarizer

Exhibit 2 Declaration of Dr. Chris Mack

Transcription:

Modeling of EUV photoresists with a resist point spread function Jason P. Cain, a* Patrick Naulleau, b Costas J. Spanos a a Department of Electrical Engineering and Computer Sciences, University of California, Berkeley, CA 94720 b Center for X-Ray Optics, Lawrence Berkeley National Laboratory, Berkeley, CA 94720 ABSTRACT Extreme ultraviolet (EUV) lithography is under development for possible deployment at the 32-nm technology node. One active area of research in this field is the development of photoresists that can meet the stringent requirements (high resolution, high sensitivity, low LER, etc.) of lithography in this regime. In order to facilitate research in this and other areas related to EUV lithography, a printing station based upon the 0.3-NA Micro Exposure Tool (MET) optic was established at the Advanced Light Source, a synchrotron facility at Lawrence Berkeley National Laboratory. A resist modeling technique using a resist point spread function has been shown to have good agreement with experiments for certain EUV resists such as Shipley EUV-2D [2]. The resist point spread function is a two-dimensional function that, when convolved with the simulated aerial image for a given mask pattern and applied to a threshold function, gives a representation of the photoresist pattern remaining after development. The simplicity of this modeling approach makes it attractive for rapid modeling of photoresists for process development applications. In this work, the resist point spread functions for three current high-resolution EUV photoresists [Rohm and Haas EUV-2D, Rohm and Haas MET-1K (XP 3454C), and KRS] are extracted experimentally. This model is then used in combination with aerial image simulations (including effects of projection optic aberrations) to predict the resist pattern for a variety of test patterns. A comparison is made between these predictions and experimental results to evaluate the effectiveness of this modeling technique for newer high-resolution EUV resists. Keywords: Extreme ultraviolet (EUV) lithography, aerial image contrast, contrast transfer function (CTF), microexposure tool (MET) optic, synchrotron, photoresist point spread function, EUV-2D, MET-1K, KRS 1. INTRODUCTION Extreme ultraviolet (EUV) lithography is currently being developed as a potential solution for manufacturing at the 32 nm technology node and beyond. One key challenge in meeting this goal is the development of photoresists that meet the demanding requirements of sensitivity and resolution. In order to investigate these issues, a static micro-field exposure tool based on the Micro-Exposure Tool (MET) optic and operating at a wavelength of 13.5 nm has been installed at the Advanced Light Source, a synchrotron facility at the Lawrence Berkeley National Laboratory. 1-3 The MET optic is composed of two multilayer-coated reflective elements and has a numerical aperture (NA) of 0.3, comparable to the value expected for first-generation EUV production tools, with a field size of 600 µm 200 µm at the wafer. In this work a method for modeling the two-dimensional post-develop resist pattern based on a resist point spread function is explored. The modeling technique is described in Section 2, and methods for extracting the key model parameters from experimental measurements of the contrast transfer function are described in Section 3. Extracted resist PSF model parameters for three EUV resists are reported in Section 4, and comparisons of the model results with scanning electron microscope (SEM) images of actual resist exposures are presented in Section 5. Finally, conclusions are presented in Section 6. * Further author information: (Send correspondence to J.P.C., now with Advanced Micro Devices) J.P.C.: E-mail: jason.cain@amd.com, Telephone: 1 (408) 749-2609

2. RESIST POINT SPREAD FUNCTION MODEL An accurate model of the photoresist response is extremely useful for process development, control, and other applications. These models are often complex systems of differential equations, making them fairly computationally intensive. A much simpler resist modeling technique using a resist point spread function 4 has been shown to have good agreement with experiments for certain EUV resists such as Shipley EUV-2D. 5 The resist point spread function is a two-dimensional function that, when convolved with the simulated aerial image for a given mask pattern and applied to a threshold function, gives a representation of the photoresist pattern remaining after development. This is summarized for positive resists in Equation 1: I resist ( x,y)= 1, I (x, y) PSF aerial resist (x, y) < T 0, otherwise, (1) where I resist (x,y) is the (binary) resist image intensity, I aerial (x,y) is the aerial image intensity, PSF resist (x,y) is the resist point spread function (PSF), and T is the resist threshold. The same relationship holds for negative resists if the less than symbol is changed to a greater than symbol. Note that because a simple threshold approach is used to determine the resist image, only first-order effects such as feature size can be predicted using this model. Therefore, second-order effects such as sidewall angle or feature height cannot be predicted. 3. EXTRACTION OF RESIST POINT SPREAD FUNCTION The resist point spread function may be extracted from measurements of the contrast transfer function (CTF) for the optical system used for resist exposures. The first step in the procedure is to select the form for the point spread function. In this work a Gaussian function is used. Because the PSF is being fit to one-dimensional features (the equalwidth lines and spaces used to measure CTF), it is only necessary to use a one-dimensional Gaussian of the form PSF resist (x) = σ PSF 1 2 x 2π e 2 2σ PSF, (2) where σ PSF is the standard deviation of the Gaussian function used for the resist PSF. Note that the full width at half maximum (FWHM) of the resist PSF is related to σ PSF by FWHM = 2 2ln2σ PSF. (3) This is equivalent to measuring a cross-section of the PSF in the direction perpendicular to the lines and spaces. In this case, the PSF is assumed to be rotationally symmetric. However, the two-dimensional PSF may be reconstructed by measuring the CTF for lines of different orientations and fitting the data individually. Under the assumption that anisotropy is not present in the resist, this additional measurement is not required. In order to extract the resist PSF for a given resist, the measured CTF and the simulated CTF (including effects of optical aberrations and illumination conditions) are required. In order to account for any constant DC offset' between the measured and simulated CTF, a variable parameter, F DC, is subtracted from each point in the simulated CTF curve before the convolution step. The F DC term may be considered another model parameter that may be varied to obtain the best fit. For each feature size (or spatial frequency) in the measured data, a sinusoid of appropriate pitch is generated with a contrast matching the corresponding simulated value. The sinusoid is then convolved with the chosen resist PSF function, and the contrast of the result is compared with the measured value. The parameters of the resist PSF may be varied in order to find the values that best fit the measured data. In the case of a one-dimensional Gaussian resist PSF,

the σ PSF (or, equivalently, the FWHM) is varied. The best fit is determined to be the value of the FWHM that minimizes the sum of squared errors (SSE) between the fitted curve and the measured data. 4. GAUSSIAN RESIST POINT SPREAD FUNCTION RESULTS In this section results of the Gaussian resist point spread function model fit are presented for three different EUV resists. The model is fit to measured CTF data for each resist. These measurements are described in detail in another paper in these Proceedings. 6 4.1 Rohm and Haas EUV-2D Resist The results of the resist PSF extraction with DC offset term for Rohm and Haas EUV-2D are shown in Figure 1. The best fit was a Gaussian FWHM of 53 nm, very close to the value of 50 nm previously reported for this resist by Naulleau, 5 with a DC offset value of 0.26. There appears to be good agreement between the fitted and measured curve. 4.2 Rohm and Haas MET-1K (XP 3454C) Resist The results of the resist PSF extraction with DC offset term for Rohm and Haas MET-1K (XP 3454C) are shown in Figure 2. The best fit was a Gaussian FWHM of 18 nm with a DC offset value of 0.31. Again, there appears to be good agreement between the fitted and measured curve. 4.3 KRS Resist The results of the resist PSF extraction with DC offset term for KRS resist 7 are shown in Figure 3. The best fit was a Gaussian FWHM of 18 nm with a DC offset value of 0.25. Once again, the fitted and measured curves appear to match closely. Figure 1. Extraction of resist point spread function with DC offset for Rohm and Haas EUV-2D resist.

Figure 2. Extraction of resist point spread function with DC offset for Rohm and Haas MET-1K (XP 3454C) resist. Figure 3. Extraction of resist point spread function with DC offset for KRS resist.

The root cause of the DC offset is not evident. The value of approximately 0.25 is significantly higher than the measured flare 8 and thus cannot be completely explained by it. Other possible causes include inaccurate knowledge of the wavefront error and relatively long-range resist effects not accounted for in the simple Gaussian fit. 5. PREDICTIVE CAPABILITIES OF RESIST PSF MODEL In order to test the predictive capabilities of the resist PSF model, aerial image simulations were run for representative mask patterns. The resist PSF models for various resists were then applied to the aerial image data and the results were compared with SEM images of actual resist patterns. The mask patterns chosen for simulation were elbow patterns with 45 nm line and space width. The wavefront of the MET optic as measured using lateral shearing interferometry 9 was used in the aerial image simulations, and the results are shown in Figure 4. A constant background value was added to the aerial image data in order to alter the contrast according to the DC offset term for each resist model, and then a Gaussian of the appropriate FWHM value was convolved with the result to give the resist image. The resist images for Rohm and Haas EUV-2D and MET-1K resists are given in Figure 5 and Figure 6, respectively. Figure 4. Simulated aerial image of dark field elbow pattern with 45 nm lines and spaces using measured MET wavefront. 8

Figure 5. Resist image (resist PSF and DC offset applied to aerial image) for Rohm and Haas EUV-2D resist with values given in Section 4.1. Figure 6. Resist image (resist PSF and DC offset applied to aerial image) for Rohm and Haas MET-1K resist with values given in Section 4.2.

After the resist images were computed for each resist model, a threshold was applied to binarize the image (as described in Equation 1). This threshold was chosen such that the lines and spaces in the resulting image were sized to approximately 45 nm. The results for EUV-2D resist are shown in Figure 7 along with a SEM image of actual resist images of an equivalent 45 nm elbow pattern. In this case the match between the SEM image and the model predicted image is relatively poor. The image in Figure 7 b.) predicts a large iso-dense bias, as expected given the relatively large spread of the Gaussian PSF for this resist. However, in the SEM image the isolated lines and the outer nested lines have collapsed. This pattern is imaged at or slightly below the resolution limit of EUV-2D resist, and the narrower lines predicted in the resist PSF model are likely too small to be resolved in the resist. This model shortcoming could possibly be addressed by adding another step at the end of the modeling process. This step would measure the linewidth of each feature in the binarized image, and remove any lines smaller than the resolution limit of the resist (which would need to be properly characterized by printing images in successively smaller increments until the resist features exhibit pattern collapse). The resist PSF model for MET-1K is shown along with the corresponding SEM image in Figure 8. The image in Figure 8 b.) shows minimal iso-dense bias (as expected given the relatively small spread of the Gaussian PSF for this resist). While not as severe as the EUV-2D case, the SEM image for MET-1K in Figure 8 a.) exhibits significant isodense bias. The isolated lines that should extend from the elbow pattern are missing, and the outer lines are noticeably thinner. The poor performance of the MET 1K modeling in particular indicates that either the extracted PSF is incorrect or that the simple PSF model serves as a poor predictor at these scales. As mentioned above, incorrect PSF extraction could be the result of inaccurate knowledge of the wavefront. The relatively poor performance of the EUV 2D modeling compared to previous results 5 also lends credence to the idea of inaccurate wavefront knowledge. To address this concern, printing based wavefront extraction methods are being implemented with the goal of verifying or refining the interferometrically measured wavefront data used both for the extraction of the PSF and the final aerial-image modeling. Figure 7. Comparison of SEM and resist PSF images for Rohm and Haas EUV-2D resist. a.) SEM image of 45 nm line and space pattern in Rohm and Haas EUV-2D resist, b.) result of resist PSF model for EUV-2D resist after application of threshold.

Figure 8. Comparison of SEM and resist PSF images for Rohm and Haas MET-1K resist. a.) SEM image of 45 nm line and space pattern in Rohm and Haas EUV-2D resist, b.) result of resist PSF model for MET-1K resist after application of threshold. 6. CONCLUSIONS A photoresist development model based on a Gaussian point spread function (PSF) applied to aerial image simulations was fit to experimentally measured contrast transfer function (CTF) data for three different EUV photoresists, and the results are summarized in Table 1. This model was applied to aerial image simulations of 45 nm elbow patterns for two resists (Rohm and Haas EUV-2D and Rohm and Haas MET-1K) and the results were compared to scanning electron microscope images of actual resist patterns. The model for EUV-2D predicts extreme iso-dense bias, which appears as pattern collapse in the corresponding SEM image. A possible addition to the modeling process to remove features below a certain resist resolution limit could address this issue. The resist PSF model for MET-1K predicts minimal iso-dense bias. However, this phenomenon is clearly evident in the corresponding SEM image. Therefore, either the extracted resist PSF is incorrect or the model is not suitable in the scale range relevant to MET 1K. Table 1. Summary of photoresist point spread function model fits for three EUV resists. Resist Gaussian FWHM DC Offset Rohm and Haas EUV-2D 53 nm 0.26 Rohm and Haas MET-1K 18 nm 0.31 KRS 18 nm 0.25

ACKNOWLEDGMENTS Many thanks are due to the excellent scientific and technical staff at CXRO, including Ken Goldberg, Paul Denham, Brian Hoef, and Erik Anderson. Thanks are also due to Kim Dean of SEMATECH for her support of this research, and to Robert Brainard of Rohm and Haas for resist support. Lawrence Berkeley National Laboratory is operated under the auspices of the Director, Office of Science, Office of Basic Energy Science, of the US Department of Energy. This work was funded by Advanced Micro Devices, Applied Materials, Atmel, Cadence, Canon, Cymer, DuPont, Ebara, Intel, KLA-Tencor, Mentor Graphics, Nikon Research, Novellus Systems, Panoramic Technologies, Photronics, Synopsis, Tokyo Electron, and the UC Discovery Grant. REFERENCES 1. P. Naulleau, K. A. Goldberg, E. Anderson, K. Bradley, R. Delano, P. Denham, B. Gunion, B. Harteneck, B. Hoef, H. Huang, K. Jackson, G. Jones, D. Kemp, J. A. Liddle, R. Oort, A. Rawlins, S. Rekawa, F. Salmassi, R. Tackaberry, C. Chung, L. Hale, D. Phillion, G. Sommargren, J. Taylor, Status of EUV microexposure capabilities at the ALS using the 0.3-NA MET optic, in Emerging Lithographic Technologies VIII, R. Scott Mackay, ed., Proc. SPIE 5374, pp. 881 891, 2004. 2. P. Naulleau, K. A. Goldberg, E. Anderson, J. P. Cain, P. Denham, K. Jackson, A.-S. Morlens, S. Rekawa, F. Salmassi, "Extreme ultraviolet microexposures at the Advanced Light Source using the 0.3 numerical aperture micro-exposure tool optic," J. Vac. Sci. Tech. B, 22(6), pp. 2962 2965, Nov./Dec. 2004. 3. P. P. Naulleau, K. A. Goldberg, E. H. Anderson, J. P. Cain, P. Denham, B. Hoef, K. Jackson, A. Morlens, S. Rekawa, EUV microexposures at the ALS using the 0.3-NA MET projection optics, in Emerging Lithographic Technologies IX, R. Scott Mackay, ed., Proc. SPIE 5751, 2005. 4. J. A. Hoffnagle, W. D. Hinsberg, M. I. Sanchez, and F. A. Houle, Method of measuring the spatial resolution of a photoresist, Optics Letters 27, pp. 1776 1778, 15 October 2002. 5. P. P. Naulleau, Verification of point-spread-function-based modeling of an extreme ultraviolet photoresist, Applied Optics 43, pp. 788 792, February 2004. 6. J. P. Cain, P. Naulleau, C. J. Spanos, Resist-based measurement of the contrast transfer function in a 0.3-NA EUV microfield optic, in Emerging Lithographic Technologies IX, R. Scott Mackay, ed., Proc. SPIE 5751, 2005. 7. G. M. Wallraff, D. R. Medeiros, M. Sanchez, K. Petrillo, W. Huang, C. Rettner, B. Davis, C. E. Larson, L. Sundberg, P. J. Brock, W. D. Hinsberg, F. A. Houle, J. A. Hoffnagle, D. Goldfarb, K. Temple, S. Wind, and J. Bucchignano, Sub-50 nm half-pitch imaging with a low activation energy chemically amplifed photoresist, J. Vac. Sci. Technol. B 22(6), pp. 3479 3484, Nov./Dec. 2004. 8. J. P. Cain, P. Naulleau, C. J. Spanos, Lithographic measurement of EUV flare in the 0.3-NA Micro Exposure Tool optic, in Emerging Lithographic Technologies IX, R. Scott Mackay, ed., Proc. SPIE 5751, 2005. 9. K. A. Goldberg, P. Naulleau, P. Denham, S. B. Rekawa, K. Jackson, J. A. Liddle, E. H. Anderson, EUV interferometric testing and alignment of the 0.3 NA MET optic, in Emerging Lithographic Technologies VIII, R. Scott Mackay, ed., Proc. SPIE 5374, pp. 64 73, 2004.