Robust Ultra-Low Power Sub-threshold DTMOS Logic Λ

Similar documents
Low Power Realization of Subthreshold Digital Logic Circuits using Body Bias Technique

NOVEL OSCILLATORS IN SUBTHRESHOLD REGIME

UNIT-II LOW POWER VLSI DESIGN APPROACHES

A Novel Continuous-Time Common-Mode Feedback for Low-Voltage Switched-OPAMP

Technical Paper FA 10.3

A Survey of the Low Power Design Techniques at the Circuit Level

PERFORMANCE ANALYSIS ON VARIOUS LOW POWER CMOS DIGITAL DESIGN TECHNIQUES

MOS TRANSISTOR THEORY

Design of Ultra-Low Power PMOS and NMOS for Nano Scale VLSI Circuits

Low Power Design for Systems on a Chip. Tutorial Outline

Reading. Lecture 17: MOS transistors digital. Context. Digital techniques:

Power dissipation in CMOS

SUBTHRESHOLD CIRCUIT DESIGN FOR HIGH PERFORMANCE

Electronics Basic CMOS digital circuits

Temperature-adaptive voltage tuning for enhanced energy efficiency in ultra-low-voltage circuits

Robust Subthreshold Circuit Designing Using Sub-threshold Source Coupled Logic (STSCL)

Lecture 4. The CMOS Inverter. DC Transfer Curve: Load line. DC Operation: Voltage Transfer Characteristic. Noise in Digital Integrated Circuits

Leakage Current Analysis

Conduction Characteristics of MOS Transistors (for fixed Vds)! Topic 2. Basic MOS theory & SPICE simulation. MOS Transistor

Topic 2. Basic MOS theory & SPICE simulation

Conduction Characteristics of MOS Transistors (for fixed Vds) Topic 2. Basic MOS theory & SPICE simulation. MOS Transistor

ECE520 VLSI Design. Lecture 5: Basic CMOS Inverter. Payman Zarkesh-Ha

Session 10: Solid State Physics MOSFET

INTERNATIONAL JOURNAL OF APPLIED ENGINEERING RESEARCH, DINDIGUL Volume 1, No 3, 2010

Energy Efficiency of Power-Gating in Low-Power Clocked Storage Elements

EE 330 Laboratory 7 MOSFET Device Experimental Characterization and Basic Applications Spring 2017

Pramoda N V Department of Electronics and Communication Engineering, MCE Hassan Karnataka India

ECE520 VLSI Design. Lecture 2: Basic MOS Physics. Payman Zarkesh-Ha

EE 330 Lecture 43. Digital Circuits. Other Logic Styles Dynamic Logic Circuits

Chapter 5. Operational Amplifiers and Source Followers. 5.1 Operational Amplifier

Total reduction of leakage power through combined effect of Sleep stack and variable body biasing technique

Low Power Design of Successive Approximation Registers

Extreme Temperature Invariant Circuitry Through Adaptive DC Body Biasing

Reduce Power Consumption for Digital Cmos Circuits Using Dvts Algoritham

ECE 334: Electronic Circuits Lecture 10: Digital CMOS Circuits

8. Characteristics of Field Effect Transistor (MOSFET)

1. Short answer questions. (30) a. What impact does increasing the length of a transistor have on power and delay? Why? (6)

Solid State Devices- Part- II. Module- IV

A High-Speed Variation-Tolerant Interconnect Technique for Sub-Threshold Circuits Using Capacitive Boosting

Design of low power SRAM Cell with combined effect of sleep stack and variable body bias technique

BICMOS Technology and Fabrication

A Variable-Frequency Parallel I/O Interface with Adaptive Power Supply Regulation

Ultra-low voltage high-speed Schmitt trigger circuit in SOI MOSFET technology

Implementation of dual stack technique for reducing leakage and dynamic power

STUDY OF VOLTAGE AND CURRENT SENSE AMPLIFIER

Jan Rabaey, «Low Powere Design Essentials," Springer tml

Minimizing the Sub Threshold Leakage for High Performance CMOS Circuits Using Stacked Sleep Technique

Comparative Study of Different Low Power Design Techniques for Reduction of Leakage Power in CMOS VLSI Circuits

An introduction to Depletion-mode MOSFETs By Linden Harrison

Novel Buffer Design for Low Power and Less Delay in 45nm and 90nm Technology

EE 42/100 Lecture 23: CMOS Transistors and Logic Gates. Rev A 4/15/2012 (10:39 AM) Prof. Ali M. Niknejad

Near-threshold Computing of Single-rail MOS Current Mode Logic Circuits

Design & Analysis of Low Power Full Adder

Leakage Power Reduction for Logic Circuits Using Variable Body Biasing Technique

MEASUREMENT AND INSTRUMENTATION STUDY NOTES UNIT-I

Sub-threshold Leakage Current Reduction Using Variable Gate Oxide Thickness (VGOT) MOSFET

DESIGN AND ANALYSIS OF LOW POWER CHARGE PUMP CIRCUIT FOR PHASE-LOCKED LOOP

Lecture 16. Complementary metal oxide semiconductor (CMOS) CMOS 1-1

Design Considerations and Tools for Low-voltage Digital System Design

Lecture-45. MOS Field-Effect-Transistors Threshold voltage

CHAPTER 5 DESIGN AND ANALYSIS OF COMPLEMENTARY PASS- TRANSISTOR WITH ASYNCHRONOUS ADIABATIC LOGIC CIRCUITS

An energy efficient full adder cell for low voltage

An Analysis of Novel CMOS Ring Oscillator Using LECTOR Technique with Minimum Leakage

Field-Effect Transistor (FET) is one of the two major transistors; FET derives its name from its working mechanism;

Low Power Design of Schmitt Trigger Based SRAM Cell Using NBTI Technique

A Micro-Power Mixed Signal IC for Battery-Operated Burglar Alarm Systems

Design of High-Speed Op-Amps for Signal Processing

Gechstudentszone.wordpress.com

High Voltage Operational Amplifiers in SOI Technology

EEC 216 Lecture #10: Ultra Low Voltage and Subthreshold Circuit Design. Rajeevan Amirtharajah University of California, Davis

Low-Power Digital CMOS Design: A Survey

Domino Static Gates Final Design Report

LOW POWER VLSI TECHNIQUES FOR PORTABLE DEVICES Sandeep Singh 1, Neeraj Gupta 2, Rashmi Gupta 2

UMAINE ECE Morse Code ROM and Transmitter at ISM Band Frequency

A 3-10GHz Ultra-Wideband Pulser

EE 330 Lecture 43. Digital Circuits. Other Logic Styles Dynamic Logic Circuits

A Tunable Body Biasing Scheme for Ultra-Low Power and High Speed CMOS Designs

Sub-Threshold Region Behavior of Long Channel MOSFET

Characterization of 6T CMOS SRAM in 65nm and 120nm Technology using Low power Techniques

UNIT-1 Fundamentals of Low Power VLSI Design

A new 6-T multiplexer based full-adder for low power and leakage current optimization

ECEN 474/704 Lab 7: Operational Transconductance Amplifiers

Low Power and High Performance Level-up Shifters for Mobile Devices with Multi-V DD

Contents 1 Introduction 2 MOS Fabrication Technology

Microelectronics Circuit Analysis and Design. MOS Capacitor Under Bias: Electric Field and Charge. Basic Structure of MOS Capacitor 9/25/2013

A Study on Super Threshold FinFET Current Mode Logic Circuits

Fin-Shaped Field Effect Transistor (FinFET) Min Ku Kim 03/07/2018

An Overview of Static Power Dissipation

UNIT 3: FIELD EFFECT TRANSISTORS

Today's Goals. Finish MOS transistor Finish NMOS logic Start CMOS logic

Lecture 7: Components of Phase Locked Loop (PLL)

Metal-Oxide-Silicon (MOS) devices PMOS. n-type

Leakage Power Reduction in CMOS VLSI

ECE380 Digital Logic. Logic values as voltage levels

Characterization of Variable Gate Oxide Thickness MOSFET with Non-Uniform Oxide Thicknesses for Sub-Threshold Leakage Current Reduction

A NEW APPROACH FOR DELAY AND LEAKAGE POWER REDUCTION IN CMOS VLSI CIRCUITS

Design Analysis and Performance Comparison of Low Power High Gain 2nd Stage Differential Amplifier Along with 1st Stage

MOSFET & IC Basics - GATE Problems (Part - I)

CHAPTER 2 LITERATURE REVIEW

Low Power High Performance 10T Full Adder for Low Voltage CMOS Technology Using Dual Threshold Voltage

Transcription:

Robust Ultra-Low Power Sub-threshold DTMOS Logic Λ Hendrawan Soeleman, Kaushik Roy, and Bipul Paul Purdue University Department of Electrical and Computer Engineering West Lafayette, IN 797, USA fsoeleman, kaushik, paulbg@ecn.purdue.edu ABSTRACT Digital sub-threshold logic circuits have recently been proposed for applications in the ultra-low power end of the design spectrum, where the performance is of secondary importance. To improve switching performance of the subthreshold logic family with comparable energy/switching, we propose the use of sub-dtmos (sub-threshold Dynamic Threshold MOS) transistors. The stability of sub-threshold DTMOS logic to temperature and process variations eliminates the need of additional stabilization scheme that may be required for regular sub-threshold MOS logic families to ensure proper operation in the sub-threshold region.. INTRODUCTION The increasing demand for portable applications has caused a significant growth of low-power design, from system level to device level. To achieve low-power requirement, various circuit design techniques have been employed, including voltage scaling and clock gating [, ]. These techniques work well in the medium-power, medium performance region of the design spectrum, where the delicate balance of power and delay is well maintained. However, in the ultra-low power end of the design spectrum, where speed is of secondary importance, a more rigorous approach is warranted. Digital sub-threshold circuits have recently been proposed to meet the ultra-low power requirement []. By operating in the sub-threshold region, digital sub-threshold circuits utilize the continuously flowing leakage current as the switching current. The minute sub-threshold current makes it possible to achieve ultra-low power dissipation. However, the performance of the digital sub-threshold circuits is several orders of magnitude lower than their normal strong-inversion counterparts. Hence, sub-threshold circuits are applicable to only specific classes of applications where ultra-low power is of primary importance. Such applications range from the implantable pace-makers and defibrillators to the recently emerging wearable, wrist-watch computers. Λ This research is supported in part bysrc under contract# 98-HJ-68 and by NSF(CCR-995) Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. To copy otherwise, to republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. ISLPED, Rapallo, Italy. Copyright ACM -58-9-9//7..$5. Another important feature, which limits the robustness of the sub-threshold logic circuits using regular MOS transistors, is their extremely high sensitivity to the variation of temperature and process parameters. The exponential dependence of sub-threshold current on threshold voltage (V th ), which in turn depends on the temperature and process parameters, calls for additional stabilization scheme to ensure proper operation. To increase the robustness of the circuit, we adopt a self-adjusted threshold voltage (SAT) scheme [, 5]. The technique monitors the change in leakage current and stabilizes it by applying appropriate bias to the substrate. A robust sub-threshold logic circuit thus can be achieved, however, this increases the design complexity toa significant extent. We propose another logic family in this paper using sub-threshold DTMOS transistors which shows a significant improvement indelay and excellent stability to temperature and process variations while maintaining the same ultra-low power design constraint. The rest of the paper is organized as follows: section explains sub- logic (sub-threshold ) with SAT scheme and discusses its merits and demerits. In section, we explain the properties and performance of sub-threshold DTMOS logic family, and compare them with regular subthreshold MOS logic circuits. Section compares the stability of both logic families to the temperature and process variations. Finally, a conclusion is drawn in section 5.. SUB- LOGIC WITH SAT SCHEME The leakage current of MOS transistor is extremely sensitive to the temperature and process variations, which in turn limit the robustness of the design. These variations result in V th fluctuation which has a strong exponential effect on the sub-threshold current. One way to handle this limitation is to stabilize the leakage current by means of establishing a feedback to the device. This is done through adopting a SAT scheme to sub-threshold circuits. The SAT scheme monitors the leakage current and stabilizes any fluctuation in the leakage current by automatically biasing the substrates of the transistors via a negative feedback loop (Fig.). There are two main components of SAT scheme, namely the leakage current monitor (LCM) and the self-substrate bias (SSB) circuit (Fig.). LCM is used as a leakage current sensor and a control to the SSB circuit. SSB circuit contains a charge pump which is powered by pulses generated from a ring oscillator. The charges accumulated from the pump are used to bias the substrate. SSB circuit works intermittently and is activated by LCM when 5

Vdd Vthp Vthn Self-Adjusting Threshold Voltage (SAT) Scheme DT-NMOS DT-PMOS Figure : DT-NMOS and DT-PMOS 6 Delay vs Power Supply Figure : Self-Adjusting Threshold Voltage Scheme Sense Stage Amplifier (Buffer) Ring Oscillator Amplifier (Buffer) Charge Pump Substrate Bias 7 Leakage Current Monitor Self-Substrate Bias (SSB) Circuit 8 Figure : Components of SAT scheme needed. Once the target substrate bias is reached, the SSB circuit is deactivated. LCM constantly monitors the leakage current and corrects the substrate bias by re-activating the SSB circuit. Thus, the LCM module analogously acts as a thermostat which regulates the temperature and process variations and sets the fluctuation to the pre-specified tolerable range. With proper substrate biasing, a stable and efficient operation thus can be achieved in the sub-threshold logic using the SAT scheme, thereby increasing the robustness of the circuit. However, SAT scheme incurs an additional overhead in area and circuit complexity. In designing the SAT scheme, careful effort must be put in to ensure adequate leakage current magnification in the LCM and to balance the trade-off between the resolution of the charge-pump in SSB circuit with the time required to achieve the targeted bias. While substrate bias is used in the SAT scheme to suppress the change in leakage current and to obtain a stable operation, there may be an alternative way to achieve the same stability with direct substrate biasing without using additional control circuitry. To achieve this goal, we study another logic family, namely sub-threshold DTMOS logic circuit, which is discussed in the following section.. SUB-THRESHOLD DTMOS LOGIC DTMOS is a transistor whose gate is tied to its substrate (Fig.). Thus, the substrate voltage in DTMOS changes with the gate input voltage, and causes V th to change accordingly. In the off-state i.e. V in =(V in = V dd )forn- MOS (PMOS), the characteristics of DTMOS is exactly the same as regular MOS. Both have similar properties, such as the same off-current (I of f ), sub-threshold slope, and V th.in the on-state, however, the substrate-source voltage (V bs )is forward-biased and thus, reduces V th of DTMOS, resulting in higher on-current (I on) than that of regular MOS. Furthermore, the sub-threshold slope (S) of DTMOS improves and approaches the ideal 6mV/decade which makes it more 9..5..5.5 Figure : Delay vs. Vdd efficient in sub-threshold logic circuits to obtain higher gain. This improvement is due to the increase in the inversion charge and the higher carrier mobility [6]. Another advantage of the sub-threshold DTMOS logic is that it does not require additional limiter transistors, which further reduces the design complexity. In contrast, in the normal strong inversion region, the limiter transistors are necessary to limit the forward-biased V bs to be less than.6v. This is to prevent forward-biasing the parasitic PN junction diode, while allowing a much higher supply voltage V dd used in the circuit.. Sub-threshold DT- Logic Both DC and AC characteristics of the sub-threshold DT- logic are analyzed and compared with those of regular sub-threshold logic. Results are obtained from SPICE simulation using the TSMC.5μm process technology at 55 ffi C. Fig. and 5 respectively show the delay and power consumption of Inverter connected in a ringoscillator fashion as a function of V dd. The higher I on of sub-threshold DT- logic causes it to have a higher power consumption, but can switch much faster than regular sub-threshold circuits. Although DTMOS gate capacitance is larger than that of standard MOS gate capacitance, gate capacitance is only a portion of total switching capacitance and the increase in current drive of DTMOS far outweigh the increase in gate capacitance. Thus, DTMOS gate switches faster than regular MOS. The power-delay product (PDP) is a measure of the amount of energy/switching and can be used to determine whether the increase of power consumption is more dominant than the delay improvement, or vice-versa. Fig.6 shows the PDP as a function of V dd for both types of Inverter. The 6

Power vs Power Supply 6 7 Power (W) 8 9..5..5.5 Power Delay Product (J).5.5 Figure 5: Power vs. Vdd.5 x 6 Power Delay Product vs Power Supply Vout (Linear) 5m 5m 8m 6m m m m 8m 6m m m m 8m 6m m m m 8m 6m m m m 8m 6m m m -m VTC of Sub-Threshold and DT- Sub-Threshold Sub-Threshold DT- 5m m 5m m 5m m 5m m 5m 5m Vin (Linear).5 Figure 7: VTC of and DT-..5..5.5 Figure 6: Power-Delay Product vs. Vdd PDP of DT- is comparable to the PDP of regular. Thus, we can operate the circuit at much higher frequency while still maintaining the same energy/switching. For the DC analysis, the voltage transfer characteristics (VTC) of both regular and DT- are simulated and shown in Fig.7. The VTC of both circuits are similar and mimic the ideal VTC. Both show very good noise margin and an exponentially high gain. Such characteristics are normally expected in all sub-threshold logic circuits. Further, the higher drive current capability of DT- makes it advantageous to have higher number of fan-out. Moreover, more complex gates can be implemented in DT- without sacrificing the performance. Fig.8 shows the delay of an Inverter logic gate as a function of the number of fan-out. The superiority of DT- over regular in driving a large number of fan-out is clearly observed.. Sub-threshold DT-Pseudo-NMOS Logic The effects of DTMOS implementation on sub-threshold Pseudo-NMOS circuit (DT-Pseudo-NMOS) are also analyzed. Two different types of DT-Pseudo-NMOS circuit implementations shown in Fig.9 are used in the analysis. All NMOS transistors in the pull-down network (PDN) are replaced with DT-NMOS transistors. However, regular PMOS and.5 x 8 Delay vs Fan out.5.5.5.5.5.5.5.5 5 5.5 6 Fan out Figure 8: Delay vs. #Fan-outs 7

Vdd Vdd Power vs Power Supply 6 Pseudo NMOS DT Pseudo NMOS DT Pseudo NMOS Out Out 7 In In In In# In In# Power (W) 8 DT-Pseudo-NMOS- DT-Pseudo-NMOS- 9 Figure 9: Sub-threshold DT-Pseudo-NMOS Logic 6 Delay vs Power Supply Pseudo NMOS DT Pseudo NMOS DT Pseudo NMOS..5..5.5 Figure : Power vs Vdd 7 x Power Delay Product vs Power Supply 6 Pseudo NMOS DT Pseudo NMOS DT Pseudo NMOS.5 8 Power Delay Product (J).5.5 9..5..5.5 Figure : Delay vs Vdd DT-PMOS are used as the load in the first and second implementation, respectively. Fig. and respectively show the delay and power consumption as a function of V dd for the two DT-Pseudo-NMOS logic implementations and regular Pseudo-NMOS logic. The power consumption in sub-threshold Pseudo-NMOS logic is comparable to that of sub-threshold logic, but it can be operated at a higher frequency. This is because the P- MOS transistors in the pull-up network (PUN) in logic are replaced with just a single PMOS transistor in Pseudo-NMOS logic. Thus, there is a significant improvement in performance due to the reduction of the load capacitance. Such improvement iseven more evident in large fan-in NOR-like complex gates. Both DT-Pseudo-NMOS logic implementations have better delay, but higher power consumption as compared to regular Pseudo-NMOS logic. Both DT-Pseudo-NMOS implementations have lower PDP (Fig.) than the regular Pseudo-NMOS, implying that the delay improvement outweighs the increase in the power consumption. Hence, DT-Pseudo-NMOS logic can be operated at higher frequency and consume lower energy/switching than regular Pseudo-NMOS logic. The voltage transfer characteristics of sub-threshold DT- Pseudo-NMOS logic (Fig.) are obtained through the DC analysis and are compared with that of regular sub-threshold Pseudo-NMOS logic. It is seen that the VTC of sub-threshold DT-Pseudo-NMOS logic are shifted from the VTC of the regular sub-threshold Pseudo-NMOS logic. This is because.5..5..5.5 Figure : Power-Delay Product vs Vdd we use the same size of the logic gate in our experiments for both DT-Pseudo-NMOS and regular Pseudo-NMOS logics. The shifting reflects the change in the switching currents. The exact replica of the VTC of regular sub-threshold Pseudo-NMOS logic can be obtained for sub-threshold DT- Pseudo-NMOS logic by merely re-sizing the transistors to compensate the change in the switching current. Thus, both implementations of the DT-Pseudo-NMOS logic can have the same DC characteristics as the regular Pseudo-NMOS logic. VTC of sub-threshold DT-Pseudo-NMOS and regular Pseudo-NMOS logic are similar to that of sub-threshold logic, and do not show any degradation as commonly seen in the strong inversion ratioed-logic. This is due to the sub-threshold characteristics of the transistors where I ds saturates at about kt/q (near-ideal rail-to-rail current source).. PROCESS VARIATIONS It is seen that sub-threshold DTMOS logic circuits have comparable PDP with that of regular sub-threshold MOS circuits, however, can be operated at a higher frequency. It is also important to study the stability of sub-threshold DT- MOS logic to temperature and V th variations to compare their robustness over regular sub-threshold MOS circuits. To compare the stability of different sub-threshold logic circuits, we analyze the effects of temperature and process variations on power and delay of both sub-threshold DT- and regular sub-threshold logics. 8

Vout (Linear) 5m 5m 8m 6m m m m 8m 6m m m m 8m 6m m m m 8m 6m m m m 8m 6m m m -m VTC of Sub-Threshold Pseudo-NMOS and DT-Pseudo-NMOS Pseudo-NMOS DT-Pseudo-NMOS- DT-Pseudo-NMOS- I on (A) 6 x I on vs Temperature 6 5 NMOS DT NMOS PMOS DT PMOS 5m m 5m m 5m m 5m m 5m 5m Vin (Linear) 6 8 Figure : VTC of DT-Pseudo-NMOS Figure 5: I-on vs. Temperature 8 x I off vs Temperature 7 NMOS PMOS.5 x 8 Delay vs Temperature with SATS 6 5.5 I off (A).5 6 8 Figure : I-off vs. Temperature. Temperature Variations The effect of temperature variation is analyzed using SPICE simulation from room temperature (5 ffi C) to 5 ffi C. Fig. and 5 show thei of f and the I on curves, respectively, for both MOS and DTMOS as a function of temperature. With increasing temperature, the threshold voltage reduces approximately at the rate of 9μV = ffi C. The reduction in V th causes I of f to increase accordingly. Both regular MOS and DTMOS have the same I of f value. The increase in subthreshold slope with increasing temperature is more prominent in the regular MOS than that of DTMOS. Consequently, I on of regular MOS increases with temperature, but that of DTMOS actually reduces with temperature. The effect of temperature variation on the performance of a sub-threshold DT- Inverter is also simulated and compared with that of regular sub-threshold Inverter (with and without the stabilization scheme) (Fig.6). Both sub-threshold DT- and with the stabilization scheme are very effective over regular sub-threshold in maintaining a stable operating frequency over the range of temperature. Because of the substrate biasing (DTMOS and with SAT scheme), the effective threshold voltages come down to just below the supply voltage (Fig.7) 6 8 Figure 6: Delay vs. Temperature and the devices operate at the onset of moderate inversion region. The currents in these cases are no longer exponentially dependent on V gs and therefore less sensitive to temperature variation. The power-delay product for with stabilization scheme is better than that of DT- (Fig.8). This is because the SAT scheme has better control on substrate biasing. However, the design simplicity of sub-threshold DT- logic makes it more attractive for the ultra-low power operations.. Threshold Voltage Variations The process variations on sub-threshold DTMOS logic are analyzed by varying the V th of both NMOS and PMOS by ±% from their designed values. Fig.9 shows the delay variation of sub-threshold DT- logic and regular subthreshold logic (with and without the stabilization scheme). The inherent robustness of sub-threshold DTMOS logic is again proven to be as comparable and effective asthe stabilization property of the SAT scheme associated with regular sub-threshold logic. 5. CONCLUSION From the above discussion, it is clear that sub-threshold DTMOS logic can be used to speed up the frequency of 59

Threshold Voltage (Linear) 58m 56m 5m 5m 5m 8m 6m m m m Threshold Voltage vs. Vbs PMOS NMOS operation up to an order of magnitude, while maintaining the same energy/switching as the regular sub-threshold MOS logic. The threshold voltage of DTMOS is intrinsically changed to achieve low-power, high performance characteristics. Furthermore, its better stability to temperature and V th variations makes it more promising than regular subthreshold MOS logic to achieve robust circuit without any additional control scheme such assats. Of course, there is an increase in process complexity due to the need of contacting and isolating the substrate. However, DTMOS has been successfully implemented in both SOI [7] and bulk Silicon [8]. Therefore, sub-threshold DTMOS logic circuits are more favorable than regular sub-threshold MOS logic due to their simplicity in design and better stability. 8m Power Delay Product (J).5.5 m m m m Vbs (Linear) 5 Figure 7: Threshold Voltage vs. Vbs.5 x 6 Power Delay Product vs Temperature with SATS 6 8 Figure 8: Power-Delay Product vs. Temperature 7 x 8 Delay vs Threshold Voltage 6 5 with SATS 6. REFERENCES [] A. Chandrakasan et.al., Minimizing Power Consumption in Digital circuits", Proceedings of the IEEE, vol. 8, n., April 995. [] J. Rabaey et. al., editor, Low Power Design Methodologies, Kluwer Academic Publishers, 996. [] H. Soeleman and K. Roy, Ultra-low Power Digital Subthreshold Logic Circuits", in International Symposium on Low Power Electronics and Design, pp. 9 96, 999. [] T. Kobayashi and T. Sakurai, Self-adjusting threshold-voltage scheme (SATS) for low-voltage high-speed operation", in Custom Integrated Circuits Conference, pp. 7 7, May 99. [5] T. Kuroda et. al., A.9-V, 5-MHz, -mw, -mm, -D discrete cosine transform core processor with variable threshold-voltage (VT) scheme", IEEE Journal of Solid-State Circuits, vol., n., pp. 77 779, November 996. [6] A. Sabnis and J. Clemens, Characterization of the Electron Mobility in the Inverted < > Si Surface", in Intl. Electron Devices Meeting, pp. 8, December 979. [7] F. Assaderaghi et. al., A Dynamic Threshold Voltage MOSFET (DTMOS) for Ultra-Low Voltage Operation", in Intl. Electron Devices Meeting, pp. 89 8, 99. [8] H. Kotaki et. al., Novel Bulk Dynamic Threshold Voltage MOSFET (B-DTMOS) with Advanced Isolation (SITOS) and Gate to Shallow-Well Contact (SSS-C) Processes for Ultra Low Power Dual Gate ", in Intl. Electron Devices Meeting, pp. 59 6, 996..5.5.5.56.58.6.6 Threshold Voltage (V) Figure 9: Delay vs. Threshold Voltage 6