ADVANCED MATERIALS AND PROCESSES FOR NANOMETER-SCALE FINFETS

Similar documents
Fin-Shaped Field Effect Transistor (FinFET) Min Ku Kim 03/07/2018

FinFET-based Design for Robust Nanoscale SRAM

EECS130 Integrated Circuit Devices

EECS130 Integrated Circuit Devices

ITRS MOSFET Scaling Trends, Challenges, and Key Technology Innovations

Final Exam Topics. IC Technology Advancement. Microelectronics Technology in the 21 st Century. Intel s 90 nm CMOS Technology. 14 nm CMOS Transistors

FinFET Devices and Technologies

Power MOSFET Zheng Yang (ERF 3017,

Alternatives to standard MOSFETs. What problems are we really trying to solve?

ISSN: [Soni* et al., 6(4): April, 2017] Impact Factor: 4.116

Parameter Optimization Of GAA Nano Wire FET Using Taguchi Method

Session 3: Solid State Devices. Silicon on Insulator

45nm Bulk CMOS Within-Die Variations. Courtesy of C. Spanos (UC Berkeley) Lecture 11. Process-induced Variability I: Random

Lecture 27 ANNOUNCEMENTS. Regular office hours will end on Monday 12/10 Special office hours will be posted on the EE105 website

Lecture 020 ECE4430 Review II (1/5/04) Page 020-1

MOSFET & IC Basics - GATE Problems (Part - I)

Atomic-layer deposition of ultrathin gate dielectrics and Si new functional devices

Lecture 020 ECE4430 Review II (1/5/04) Page 020-1

Sub-50 nm P-Channel FinFET

Drain. Drain. [Intel: bulk-si MOSFETs]

Design and Analysis of Double Gate MOSFET Devices using High-k Dielectric

The 3 D Tri Gate transistor is a variant of the FinFET developed at UC Berkeley, and is being used in Intel s 22nmgeneration. microprocessors.

NAME: Last First Signature

2014, IJARCSSE All Rights Reserved Page 1352

Silicon on Insulator (SOI) Spring 2018 EE 532 Tao Chen

ECSE-6300 IC Fabrication Laboratory Lecture 9 MOSFETs. Lecture Outline

ECE 340 Lecture 37 : Metal- Insulator-Semiconductor FET Class Outline:

SCALING AND NUMERICAL SIMULATION ANALYSIS OF 50nm MOSFET INCORPORATING DIELECTRIC POCKET (DP-MOSFET)

DG-FINFET LOGIC DESIGN USING 32NM TECHNOLOGY

Intel Technology Journal

Transistor was first invented by William.B.Shockley, Walter Brattain and John Bardeen of Bell Labratories. In 1961, first IC was introduced.

Why Scaling? CPU speed Chip size R, C CPU can increase speed by reducing occupying area.

International Journal of Scientific & Engineering Research, Volume 6, Issue 2, February-2015 ISSN

ECE 5745 Complex Digital ASIC Design Topic 2: CMOS Devices

THRESHOLD VOLTAGE CONTROL SCHEMES

A BRIEF STUDY ON CHALLENGES OF MOSFET AND EVOLUTION OF FINFETS

Performance investigations of novel dual-material gate (DMG) MOSFET with dielectric pockets (DP)

ECSE-6300 IC Fabrication Laboratory Lecture 7 MOSFETs. Lecture Outline

Session 10: Solid State Physics MOSFET

Chapter 3: Basics Semiconductor Devices and Processing 2006/9/27 1. Topics

420 Intro to VLSI Design

Low-Power VLSI. Seong-Ook Jung VLSI SYSTEM LAB, YONSEI University School of Electrical & Electronic Engineering

Basic Fabrication Steps

Future MOSFET Devices using high-k (TiO 2 ) dielectric

Design Simulation and Analysis of NMOS Characteristics for Varying Oxide Thickness

INTRODUCTION TO MOS TECHNOLOGY

SPECIAL REPORT SOI Wafer Technology for CMOS ICs

Challenges and Innovations in Nano CMOS Transistor Scaling

Semiconductor TCAD Tools

Design of 45 nm Fully Depleted Double Gate SOI MOSFET

Source/Drain Parasitic Resistance Role and Electric Coupling Effect in Sub 50 nm MOSFET Design

DUAL MATERIAL PILE GATE APPROACH FOR LOW LEAKAGE FINFET. Sanjay S. Chopade 1*, Dinesh V. Padole 1

A novel GAAC FinFET transistor: device analysis, 3D TCAD simulation, and fabrication

High-Performance Si Nanowire FET with a Semi Gate-Around Structure Suitable for Integration

+1 (479)

LSI ON GLASS SUBSTRATES

Chapter 3 Basics Semiconductor Devices and Processing

FABRICATION OF CMOS INTEGRATED CIRCUITS. Dr. Mohammed M. Farag

Power FINFET, a Novel Superjunction Power MOSFET

Lecture 33 - The Short Metal-Oxide-Semiconductor Field-Effect Transistor (cont.) April 30, 2007

FinFET vs. FD-SOI Key Advantages & Disadvantages

CMOS Digital Integrated Circuits Lec 2 Fabrication of MOSFETs

3084 IEEE TRANSACTIONS ON NUCLEAR SCIENCE, VOL. 60, NO. 4, AUGUST 2013

2.8 - CMOS TECHNOLOGY

Analog Performance of Scaled Bulk and SOI MOSFETs

Design of Optimized Digital Logic Circuits Using FinFET

DESIGN OF 20 nm FinFET STRUCTURE WITH ROUND FIN CORNERS USING SIDE SURFACE SLOPE VARIATION

Semiconductor Physics and Devices

Chapter 2 : Semiconductor Materials & Devices (II) Feb

CHAPTER 2 LITERATURE REVIEW

Fabrication, Corner, Layout, Matching, & etc.

Channel Engineering for Submicron N-Channel MOSFET Based on TCAD Simulation

Solid State Device Fundamentals

VLSI Design. Introduction

A 90 nm High Volume Manufacturing Logic Technology Featuring Novel 45 nm Gate Length Strained Silicon CMOS Transistors

ECE520 VLSI Design. Lecture 2: Basic MOS Physics. Payman Zarkesh-Ha

Jack Keil Wolf Lecture. ESE 570: Digital Integrated Circuits and VLSI Fundamentals. Lecture Outline. MOSFET N-Type, P-Type.

INTERNATIONAL JOURNAL OF APPLIED ENGINEERING RESEARCH, DINDIGUL Volume 1, No 3, 2010

In this lecture we will begin a new topic namely the Metal-Oxide-Semiconductor Field Effect Transistor.

4H-SiC V-Groove Trench MOSFETs with the Buried p + Regions

EE4800 CMOS Digital IC Design & Analysis. Lecture 1 Introduction Zhuo Feng

Innovation to Advance Moore s Law Requires Core Technology Revolution

6. LDD Design Tradeoffs on Latch-Up and Degradation in SOI MOSFET

Record I on (0.50 ma/μm at V DD = 0.5 V and I off = 100 na/μm) 25 nm-gate-length ZrO 2 /InAs/InAlAs MOSFETs

FinFET Architecture Analysis and Fabrication Mechanism

Characterization of Variable Gate Oxide Thickness MOSFET with Non-Uniform Oxide Thicknesses for Sub-Threshold Leakage Current Reduction

CMOS Scaling and Variability

Research Needs for Device Sciences Modeling and Simulation (May 6, 2005)

Solid State Devices- Part- II. Module- IV

Improving CMOS Speed and Switching Energy with Vacuum-Gap Structures

VLSI Design. Introduction

INTRODUCTION: Basic operating principle of a MOSFET:

ESE 570: Digital Integrated Circuits and VLSI Fundamentals

Notes. (Subject Code: 7EC5)

TECHNO INDIA BATANAGAR (DEPARTMENT OF ELECTRONICS & COMMUNICATION ENGINEERING) QUESTION BANK- 2018

CMOS Technology. 1. Why CMOS 2. Qualitative MOSFET model 3. Building a MOSFET 4. CMOS logic gates. Handouts: Lecture Slides. metal ndiff.

Eigen # Hole s Wavefunctions, E-k and Equi-Energy Contours from a P-FinFET. Lecture 5

PAPER SOLUTION_DECEMBER_2014_VLSI_DESIGN_ETRX_SEM_VII Prepared by Girish Gidaye

Lecture 8. Thin-Body MOSFET s Process II. Source/Drain Technologies Threshold Voltage Engineering

Performance Evaluation of MISISFET- TCAD Simulation

Transcription:

ADVANCED MATERIALS AND PROCESSES FOR NANOMETER-SCALE FINFETS Tsu-Jae King, Yang-Kyu Choi, Pushkar Ranade^ and Leland Chang Electrical Engineering and Computer Sciences Dept., ^Materials Science and Engineering Dept. University of California at Berkeley Berkeley, California 94720-1770 USA Abstract -- This paper discusses the need for advanced materials and processes to maximize the performance benefit of the FinFET for sub-50-nm CMOS technologies. Recent work to develop a tunable work-function gate technology and low-thermal-budget source/drain contact process are reviewed. INTRODUCTION Advanced transistor structures such as the ultra-thin-body (UTB) MOSFET [1] and the double-gate MOSFET [2] are more scalable than the classical bulk-si structure and hence are likely to be adopted for the manufacture of CMOS integrated circuits beyond the 50-nm-technology node [3]. The quasi-planar FinFET (Figure 1) offers the superior scalability of a double-gate MOSFET structure together with a process flow and layout similar to that of the conventional MOSFET [4]. Hence, it recently has been investigated by several groups [5]-[7]. In order for a FinFET technology to provide maximum performance benefit over bulk-si MOSFET technology, advanced materials and processes are required. This paper discusses the advantages of tunable-work-function gate materials and raised source/drain contact processes for optimizing CMOS FinFET circuit performance. GATE MATERIAL CONSIDERATIONS Impact of Work Function on Performance In a FinFET, short-channel effects can be effectively suppressed by using a thin body (narrow fin) rather than heavy channel doping. A lightly doped channel provides improved immunity to variations in threshold voltage (V T ) resulting from statistical dopant fluctuations, as well as enhanced carrier mobility for higher transistor drive current because of the lower transverse electric field in the inversion layer [8]. However, it requires that a means other than channel doping is available for adjusting V T. The proper value of V T can be achieved in a lightly doped FinFET by employing dual n+/p+ poly-si gates [6]. In this case, V T is tuned by adjusting the relative thicknesses of the gate oxide and body (Figure 2) [9]. This approach makes V T more sensitive to variations in body thickness, however. Also, it results in higher transverse electric fields which degrade transistor drive current and increase gate-induced drain leakage [10]. Symmetric gates are therefore preferred for optimal performance. For lightly doped thin-body (fully depleted) CMOS transistors, the required range of work functions for symmetric gates is 4.4-5.0 V (Figure 3) [11], which precludes doped poly-si as a gate material. Metallic gate materials are therefore needed, and provide the additional advantage of eliminating the gate depletion effect for further improvement in transistor drive. Figure 4 compares the performance (fanout-of-4 inverter delay) of thin-body CMOS technologies against that of bulk-si CMOS technology, obtained through mixed-mode simulation using realistic device structures based on ITRS specifications [12]. For the cases of poly-si gate (n+ poly-si for NMOS, and p+ poly-si for PMOS) and midgap-work-function gate, high channel doping is used to achieve the proper values of V T. It can be seen that the use of an optimized metal gate technology in conjunction with light body doping for thin-body transistors yields the best performance, by a significant margin. Because of their disparate gate work function requirements, different gate materials must be employed for n-channel vs. p-channel lightly doped FinFETs. The simplest process integration approach is to deposit a single gate material and then to selectively modify its work function as needed, as is done for a conventional poly-si gate technology. Researchers have recently found that the work function of a nickel silicide (NiSi) gate electrode can be either 4.6 V or 5 V, if the poly-si starting material is heavily doped either n-type or p-type, respectively, prior to complete silicidation [13]. Thus, NiSi is a candidate for dual-work-function gate technology. Potential issues such as dopant penetration through thin gate oxide, changes in gate-oxide thickness (due to segregation of oxygen during the silicidation process), and thermal stability remain to be investigated, however. Molybdenum (Mo) is another candidate for dual-work-function gate technology, one which does not require the use of dopants for work function adjustment. The suitability of Mo as a gate electrode for CMOS FinFET application is discussed in the following subsection.

Molybdenum Technology Mo is an attractive candidate for gate-electrode application because of its excellent compatibility with CMOS processing, low resistivity [14] and a coefficient of thermal expansion that matches silicon. Its high work function (~5 V) makes it suitable as a gate material for p-channel FinFETs. The work function of Mo on SiO 2 can be lowered in a controllable manner by low-energy 14 N + implantation followed by thermal annealing [15], to make it suitable as a gate material for n-channel FinFETs as well. From Figure 5, it can be seen that the Mo gate work function decreases with increasing implant dose and energy; it also increases with post-implant anneal temperature (T anneal ), saturating at ~4.4 V for T anneal =900 C [16]. The mechanism for the reduction in work function is the segregation of N and formation of Mo 2 N at the gate/gate-dielectric interface. A tunable-work-function Mo gate technology recently has been successfully applied to p-channel FinFETs [17]. The capability to achieve multiple V T values by selectively adjusting the 14 N + implant dose (Figure 6) is important because it enables optimization of V T for high-performance vs. low-power applications, without the need for channel doping. Care must be taken to optimize the Mo film thickness and implantation energy in order to avoid degrading the gate-oxide/si-fin interface (Figure 7), however. NARROW FIN FORMATION Generally, the minimum gate length (L g ) on an IC chip is the smallest feature which can be defined by conventional lithographic processes. In order to suppress short-channel effects, the thickness of a lightly doped FinFET body (i.e. the fin width W fin ) must be ~1.5 smaller than the gate length, however [18]. Sub-lithographic fins (narrower than any feature which can be defined by conventional lithography) can be formed in an film by using spacers, formed along the sidewalls of a sacrificial patterned layer, as a hard mask [19]. The spacers are formed by conformal deposition of the spacer material, followed by anisotropic etch to remove this material from the lateral surfaces on the wafer (Figure 8). The width of the spacers is determined by the thickness of the deposited spacer layer, and can be very uniform across a wafer (Figure 9) [19]. SOURCE/DRAIN CONTACT FORMATION Parasitic resistance associated with the thin-body source and drain (S/D) regions can seriously limit transistor drive current, particularly for electrical channel lengths below 50 nm [6]. An optimized FinFET CMOS fabrication process should therefore provide for heavy and uniform doping of the thin-body (narrow-fin) S/D extensions, as well as selectively thickened S/D contact regions, to minimize parasitic resistance. Tilted-Angle Source/ Ion Implantation For any MOSFET, the S/D regions should be heavily doped uniformly across the entire width of the channel, to achieve low parasitic resistance with uniform electrical channel length (L eff ) for good control of short-channel effects. Since the FinFET is a vertical structure, the S/D dopants should thus be ideally implanted at a 90 o angle to the fin, which is not practical for a manufacturing process. High-performance single-fin FinFETs have been fabricated with S/D implants performed at a relatively high tilt angle θ = 45 o [6]. Since multiple fins are required to achieve a wide-channel FinFET, there exists a tradeoff between θ and FinFET layout area: the spacing between fins (S fin-to-fin ) cannot be too small: S fin-to-fin H fin (tan θ) (1) where H fin is the height of the Si fin; otherwise, shadowing of the S/D implant will occur. In order to guarantee that the layout efficiency of a wide-channel FinFET will be no worse than that of a conventional bulk-si MOSFET, the height of the fin should be greater than or equal to the fin pitch: H fin W fin + S fin-to-fin (2) Given the constraints in Equations (1) and (2), the S/D implant tilt angle is limited to less than 45 o : tan θ < 1 (3) Therefore, it will be very difficult to achieve perfectly uniform L eff throughout the height of a fin for optimal double-gate transistor performance with the FinFET structure. Selective Thickening of Source/ Contact Regions Parasitic resistance associated with the S/D contact regions can be reduced by selectively thickening the Si fin in these regions. Selective Si epitaxy has been successfully applied for this purpose [6]. Silicon-germanium () alloys with moderate Ge content (less 50 atomic percent) can be selectively deposited onto Si by CVD and provide lower sheet resistance and specific contact resistivity (close to 10-8 Ω-cm 2 ) than can be achieved with Si [20]. Another significant benefit of is that lower annealing temperatures can be used to activate dopants, to alleviate stability issues for advanced gate-stack materials such as high-permittivity gate dielectrics and metallic gate electrodes. Pure Ge can be deposited selectively at low temperatures (<350 o C) in a conventional LPCVD furnace, using GeH 4 as the gaseous source, to form the raised-s/d structure (Figure 10) [21]. This process has been successfully applied to improve I dsat for sub-100nm FinFETs (Figure 11) [22]. Ultimately, parasitic S/D resistance should be minimized by further forming a silicide (or germanosilicide) in the thick S/D regions.

SUMMARY Metallic gate-electrode materials and low-resistance S/D formation processes will be required in order for the FinFET to provide significant circuit performance advantages over the bulk-si MOSFET in future sub-50-nm CMOS technologies. Mo gate technology shows promise for future FD-CMOS application, particularly if multiple values of V T are needed. Uniform S/D doping throughout the height of a fin in the S/D regions is desirable for good control of short-channel effects, but presents a technological challenge. Selective thickening of the S/D contact regions and subsequent silicidation will be necessary to achieve high drive current meeting ITRS specifications. ACKNOWLEDGEMENTS Fruitful discussions with Chenming Hu and Jeffrey Bokor are gratefully acknowledged. Ultra-low-energy N + implants (for the Mo gate study) were provided by Aditya Agarwal and Michael Ameen of Axcelis Technologies Inc. This work is supported under MARCO contract 2001-MT-887 and SRC contract 2000-NJ-850. The FinFETs were fabricated in the UC Berkeley Microfabrication Laboratory. REFERENCES [1] Y.-K. Choi et al., Ultrathin-body MOSFET for deep-sub-tenth micron era, IEEE Electron Device Letters, (May, 2000), pp. 254-255. [2] D. Frank et al., Monte Carlo simulation of a 30 nm dual-gate MOSFET: how short can Si go? IEDM Technical Digest, (December, 1992), pp. 553-556. [3] R. Chau et al., A 50 nm depleted-substrate CMOS transistor (DST), IEDM Technical Digest, (December, 2001), pp. 621-624. [4] N. Lindert et al., Sub-60-nm quasi-planar FinFETs fabricated using a simplified process, IEEE Electron Device Letters, (October, 2001), pp. 487-489. [5] Y.-K. Choi et al., Sub-20nm CMOS FinFET tchnologies, IEDM Technical Digest, (December, 2001), pp. 421-424. [6] J. Kedzierski et al., High-performance symmetric-gate and CMOS-compatible V t asymmetric-gate FinFET devices, IEDM Technical Digest, (December, 2001), pp. 437-440. [7] F.-L. Yang et al., 35 nm CMOS FinFETs, Symposium on VLSI Technology, Digest of Technical Papers, (June, 2002), pp. 104-105. [8] D.A. Antoniadis, MOSFET scalability limits and "new frontier" devices, Symposium on VLSI Technology, Digest of Technical Papers, (June, 2002), pp. 2-5. [9] S.H. Tang et al., FinFET -- a quasi-planar double-gate MOSFET, International Solid-State Circuits Conference (February, 2001). [10] J.G. Fossum et al., Extremely scaled double-gate CMOS performance projections, including GIDL-controlled off-state current, IEEE Transactions on Electron Devices, (November 1999), pp. 2195-2200. [11] L. Chang et al., length scaling and threshold voltage control of double-gate MOSFETs, IEDM Technical Digest, (December, 2000), pp. 719-722. [12] International Technology Roadmap for Semiconductors, 2001. http://public.itrs.net/files/2001itrs/home.htm2001 [13] M. Qin et al., Investigation of polycrystalline nickel silicide films as a gate material, Journal of the Electrochemical Society, (May, 2001), pp. G271-G274. [14] VLSI electronics: microstructure science, Vol. 9, Academic Press, New York, (1985), 477 p. [15] P. Ranade et al., Work function engineering of molybdenum gate electrodes by nitrogen implantation, Electrochemical and Solid-State Letters, (November, 2001), pp. G85-G87. [16] P. Ranade et al., Tunable-Work-Function Molybdenum Technology for FD-CMOS, IEDM Technical Digest, (December, 2002). [17] Y.-K. Choi et al., FinFET Process Refinements for Improved Mobility and Work Function Engineering, IEDM Technical Digest, (December, 2002). [18] N. Lindert et al., Quasi-planar NMOS FinFETs with sub-100nm gate lengths, 59 th Device Research Conference, Conference Digest, (June, 2001), pp. 26-27. [19] Y.-K. Choi et al., FinFET: Nano-scale CMOS technology for the terabit era, 2001 International Semiconductor Device Research Symposium Proceedings, (December, 2001), pp. 543-546. [20] S. Gannavaram et al., Low temperature ( 800 o C) recessed junction selective silicon-germanium source/drain technology for sub-70 nm CMOS, IEDM Technical Digest, (December, 2000), pp. 437-440. [21] P. Ranade et al., Application of silicon-germanium in the fabrication of ultra-shallow extension junctions for sub-100 nm PMOSFETs, IEEE Transactions on Electron Devices, (August, 2002) pp. 1436-1443. [22] N. Lindert et al., Quasi-planar FinFETs with selectively grown germanium raised source/drain, 2001 IEEE International Conference Proceedings, (October, 2001), pp. 111-112.

(a) SiO 2 SiO 2 Source (b) Current Flow Source Length = L g 2 1 Fin Height H fin = W Figure 4: Comparison of loaded-inverter delay for thin-body CMOS technologies against that for bulk-si CMOS technology. Fin Width W fin = T Si Figure 1: (a) Quasi-planar FinFET structure. A SiO 2 hard mask is used to protect the top of the film during the long gate-etch process. (b) Key structural parameters are labelled. (Note that the oxide hard mask is not shown in this illustration for simplicity.) Threshold Voltage [V] 0.4 0.3 0.2 0.1 0.0 T ox,eq =20A T ox,eq =10A T ox,eq =15A 5 10 15 20 W fin [nm] Figure 2: Dependence of FinFET threshold voltage on fin width and gate-oxide thickness for asymmetric (n+/p+ poly-si) gates [9]. Threshold Voltage [V] 1.0 0.8 0.6 0.4 0.2 0.0-0.2 N + Poly V T =0.2V 4.52eV V Tn -V Tp 4.95eV P + Poly 4.2 4.4 4.6 4.8 5.0 5.2 Work Function [V] Figure 3: Dependence of n-channel threshold voltage (V Tn ) and p-channel threshold voltage (V Tp ) on gate work function, for fully-depleted MOSFETs [11]. Work Function (V) 4.0 4.2 4.4 4.6 4.8 unimplanted 1KeV, 5E15/cm 2 2KeV, 5E15/cm 2 2KeV, 1E16/cm 2 Si conduction band 5.0 Si valence band 5.2 500C 600C 700C 800C 900C Anneal Temperature Figure 5: Dependence of Mo gate work function on post-n + -implant annealing temperature and implant parameters [16]. All anneals were 15 minutes long, except for the 900 C anneal (15 seconds). Current, Id [A/um] 10-3 10-5 10-7 10-9 10-11 10-13 V t shift Mo MoN(N 2 =5x10 15 cm -2 ) -0.8-0.6-0.4-0.2 0.0 0.2 Voltage, V g [V] Figure 6: Measured transfer characteristics (I D vs. V G ) of Mo-gated p-channel FinFETs (L g =80nm, W fin =10nm). Note that V T =0.2V (defined at I D =100nA/µm) is achieved without heavy body doping. Nitrogen implantation is effective for adjusting V T.

Cumulative Distribution of CD Lithography E-Beam Lithography 20 40 60 80 Feature Size [nm] Figure 9: Comparison of CD uniformity achieved with a sidewall-spacer hard-mask process against that achieved with an e-beam lithography process [19]. T Si S hard mask W S hard mask Ge Figure 7: Flat-band voltage dependence on gate-sio 2 thickness, for Mo-gated capacitors fabricated on bulk-si substrates. (V FB = Φ M Φ S (Q f /ε ox ) T ox ) For some devices, the Mo gate was implanted with 14 N + to lower the gate work function. All devices were annealed at 900 o C for 15s. (a) Thick (150 nm) Mo gate devices; 14 N + implant energy was 60 kev. (b) Thin (15 nm) Mo gate devices; 14 N + implant energy was 2 kev. Degradation of the gate-dielectric interface (increased Q f ) is mitigated by reducing the 14 N + implant straggle. 1. Deposit & pattern sacrificial layer 2. Deposit mask layer (SiO 2 or Si 3 N 4 ) 3. Etch back mask layer 4. Remove sacrificial layer; etch layer to form fins fins Figure 8: Sequence of schematic cross-sections illustrating the process for forming sub-lithographic fins using sidewall spacers as a hard mask. (a) (b) Figure 10: Illustration of raised-s/d fabrication process for a FinFET. (a) Structure after formation of gate-sidewall spacers. (The hardmask on top of the fin in the S/D contact regions is removed during the spacer etch.) (b) Structure after selective germanium deposition. Id (ua/um) 500 400 300 200 100 0 w/ Ge w/o Ge 0 0.5 1 1.5 V d (V) Figure 11: Measured output characteristics (I D vs. V D ) of n-channel FinFETs with L g =90nm and W fin =70nm [22]. Significant improvement in drive current is achieved with the raised Ge-S/D structure.