Understanding Timing in Altera CPLDs

Similar documents
Understanding FLEX 8000 Timing

Understanding MAX 9000 Timing

Arria V Timing Optimization Guidelines

Managing Metastability with the Quartus II Software

4. Embedded Multipliers in Cyclone IV Devices

4. Embedded Multipliers in the Cyclone III Device Family

Implementing FIR Filters and FFTs with 28-nm Variable-Precision DSP Architecture

64-Macrocell MAX EPLD

Implementing Dynamic Reconfiguration in Cyclone IV GX Devices

This document addresses transceiver-related known errata for the Stratix GX FPGA family production devices.

Implementing QPI Using the Transceiver Native PHY IP Core in Stratix V Devices

Power Optimization in Stratix IV FPGAs

8. QDR II SRAM Board Design Guidelines

Enpirion EP5357xUI DC/DC Converter Module Evaluation Board

Enabling High-Performance DSP Applications with Arria V or Cyclone V Variable-Precision DSP Blocks

White Paper Stratix III Programmable Power

CDR in Mercury Devices

High-Speed Link Tuning Using Signal Conditioning Circuitry in Stratix V Transceivers

Classic. Feature. EPLD Family. Table 1. Classic Device Features

Implementing Logic with the Embedded Array

Stratix GX FPGA. Introduction. Receiver Phase Compensation FIFO

MAX Features... Programmable Logic Device Family

2. HardCopy IV GX Dynamic Reconfiguration

Stratix II DSP Performance

2. Cyclone IV Reset Control and Power Down

Quartus II Simulation with Verilog Designs

Includes MAX 7000E & MAX 7000S EPM7096 EPM7096S EPM7128E EPM7128S EPM7128SV

Using Soft Multipliers with Stratix & Stratix GX

Enpirion Power Datasheet EY V, Low Quiescent Current, 50mA Linear Regulator

Quartus II Simulation with Verilog Designs

MAX 10 Analog to Digital Converter User Guide

3. Cyclone IV Dynamic Reconfiguration

Introduction to Simulation of Verilog Designs. 1 Introduction

Features. QUIESCENT CURRENT (µa)

Reference. Wayne Wolf, FPGA-Based System Design Pearson Education, N Krishna Prakash,, Amrita School of Engineering

Digital Systems Design

512 x 8 Registered PROM

DS1075 EconOscillator/Divider

DIRECT UP-CONVERSION USING AN FPGA-BASED POLYPHASE MODEM

Stratix II Filtering Lab

FLEX 10KE. Features... Embedded Programmable Logic Device

DS1075. EconOscillator/Divider PRELIMINARY FEATURES PIN ASSIGNMENT FREQUENCY OPTIONS

Cyclone II Filtering Lab

Introduction to Simulation of Verilog Designs. 1 Introduction. For Quartus II 11.1

Technical Brief High-Speed Board Design Advisor Thermal Management

ACEX 1K. Features... Programmable Logic Device Family. Tools

FLEX 6000 Programmable Logic Device Family

Feature EP20K30E EP20K60E EP20K100 EP20K100E EP20K160E EP20K200 EP20K200E

DS1073 3V EconOscillator/Divider

Introduction to Simulation of Verilog Designs Using ModelSim Graphical Waveform Editor. 1 Introduction. For Quartus II 13.1

P3Z22V10 3V zero power, TotalCMOS, universal PLD device

Introduction to Simulation of Verilog Designs. 1 Introduction. For Quartus II 13.0

Highperformance EE PLD ATF1508AS ATF1508ASL

FLEX 10K. Features... Embedded Programmable Logic Family. Table 1. FLEX 10K Device Features

Stratix Filtering Reference Design

ACEX 1K. Features... Programmable Logic Family. Tools. Table 1. ACEX TM 1K Device Features

Intel MAX 10 Analog to Digital Converter User Guide

FLEX 10K. Features... Embedded Programmable Logic Family. Preliminary Information

Features. Applications

FPGA Based System Design

EP312 & EP324 Classic EPLDs

EP220 & EP224 Classic EPLDs

CS/EE Homework 9 Solutions

1 Q' 3. You are given a sequential circuit that has the following circuit to compute the next state:

Cyclone III Simultaneous Switching Noise (SSN) Design Guidelines

Engr354: Digital Logic Circuits

4. Operating Conditions

Philips Semiconductors Programmable Logic Devices

2. Transceiver Basics for Arria V Devices

Modeling System Signal Integrity Uncertainty Considerations

Advanced Doherty Alignment Module (ADAM)

PROGRAMMABLE ASIC INTERCONNECT

DM74ALS169B Synchronous Four-Bit Up/Down Counters

Intel MAX 10 Analog to Digital Converter User Guide

PE713 FPGA Based System Design

SSTV V 13-bit to 26-bit SSTL_2 registered buffer for stacked DDR DIMM

PLL & Timing Glossary

DM74AS169A Synchronous 4-Bit Binary Up/Down Counter

a6850 Features General Description Asynchronous Communications Interface Adapter

NJ88C Frequency Synthesiser with non-resettable counters

CS302 Digital Logic Design Solved Objective Midterm Papers For Preparation of Midterm Exam

INF3430 Clock and Synchronization

Philips Semiconductors Programmable Logic Devices

XC95144XV High-Performance CPLD R JTAG Port 1 3 JTAG Controller In-System Programming Controller Block 1 1 to /GCK /GSR /GTS Blocks FastCONNECT

Arria II Device Handbook Volume 3: Device Datasheet and Addendum

FPGA Co-Processing Solutions for High-Performance Signal Processing Applications. 101 Innovation Dr., MS: N. First Street, Suite 310

Features. Applications

Storage Telecom Industrial Servers Backplane clock distribution

Increasing ADC Dynamic Range with Channel Summation

In this lecture, we will first examine practical digital signals. Then we will discuss the timing constraints in digital systems.

Timing Issues in FPGA Synchronous Circuit Design

SSTVN bit 1:2 SSTL_2 registered buffer for DDR

Temperature Monitoring and Fan Control with Platform Manager 2

Lecture 3, Handouts Page 1. Introduction. EECE 353: Digital Systems Design Lecture 3: Digital Design Flows, Simulation Techniques.

EN6363QI 6A PowerSoC. Evaluation board user guide enpirion power solutions. Step-Down DC-DC Switching Converter with Integrated Inductor

Temperature Monitoring and Fan Control with Platform Manager 2

Features. Applications. Markets

Features. Applications

ICS PCI-EXPRESS CLOCK SOURCE. Description. Features. Block Diagram DATASHEET

HEF4518B. 1. General description. 2. Features and benefits. 3. Applications. 4. Ordering information. Dual BCD counter

Transcription:

Understanding Timing in Altera CPLDs AN-629-1.0 Application Note This application note describes external and internal timing parameters, and illustrates the timing models for MAX II and MAX V devices. Altera devices provide predictable device performance that is consistent from simulation-to-application. Before programming a device, you can determine the worst-case timing delays for your design. To approximate propagation delays, you can use: Quartus II TimeQuest Timing Analyzer Timing models provided in this application note Timing parameters listed in the DC and Switching Characteristics chapter in the MAX II Device Handbook and DC and Switching Characteristics for MAX V Devices chapter in the MAX V Device Handbook. 1 For the most precise timing results, you use the Quartus II TimeQuest Timing Analyzer. For more information, refer to Timing Model Versus the Quartus II TimeQuest Timing Analyzer on page 9. f Familiarity with device architecture and characteristics is assumed. For a complete description of the architecture and the specific values of timing parameters listed in this application note, refer to the DC and Switching Characteristics chapter in the MAX II Device Handbook and DC and Switching Characteristics for MAX V Devices chapter in the MAX V Device Handbook. This application note contains the following sections: External Timing Parameters on page 2 Internal Timing Parameters on page 2 Internal Timing Parameters for User Flash Memory in MAX II and MAX V Devices on page 4 Timing Models on page 5 Calculating Timing Delays on page 5 Programmable Input Delay on page 8 Timing Model Versus the Quartus II TimeQuest Timing Analyzer on page 9 101 Innovation Drive San Jose, CA 95134 www.altera.com 2010 Altera Corporation. All rights reserved. ALTERA, ARRIA, CYCLONE, HARDCOPY, MAX, MEGACORE, NIOS, QUARTUS and STRATIX are Reg. U.S. Pat. & Tm. Off. and/or trademarks of Altera Corporation in the U.S. and other countries. All other trademarks and service marks are the property of their respective holders as described at www.altera.com/common/legal.html. Altera warrants performance of its semiconductor products to current specifications in accordance with Altera s standard warranty, but reserves the right to make changes to any products and services at any time without notice. Altera assumes no responsibility or liability arising out of the application or use of any information, product, or service described herein except as expressly agreed to in writing by Altera. Altera customers are advised to obtain the latest version of device specifications before relying on any published information and before placing orders for products or services. December 2010 Altera Corporation Subscribe

Page 2 External Timing Parameters External Timing Parameters External timing parameters represent actual pin-to-pin timing characteristics. Each external timing parameter consists of a combination of internal timing parameters. f You can find the values of the external timing parameters in the DC and Switching Characteristics chapter in the MAX II Device Handbook and DC and Switching Characteristics for MAX V Devices chapter in the MAX V Device Handbook. These external timing parameters are worst-case values, derived from extensive performance measurements and ensured by testing. Table 1 lists external timing parameters for MAX II and MAX V devices. Table 1. External Timing Parameters for MAX II and MAX V Devices t PD1 t PD2 t CLR t SU t H t CO t CNT Parameter Description Pin-to-pin delay for the worst case I/O placement with a diagonal path across the device with combinational logic implemented in a single look-up table (LUT) in a logic array block (LAB) adjacent to the output pin. Use the fast I/O connection from the adjacent logic element (LE) to the output pin. Pin-to-pin delay for the best case I/O placement with combinational logic (2-input AND gate) implemented in a single edge LE adjacent to the input pin. The longest pin path of the two inputs is shown. Use the fast I/O connection from the adjacent LE to the output pin. Time to clear register delay. The time required for a low signal to appear at the external output and measured from the input transition. Global clock setup time. The time that data must be present at the input pin before the global (synchronous) clock signal is asserted at the clock pin. Global clock hold time. The time that data must be present at the input pin after the global clock signal is asserted at the clock pin. Global clock to output delay. The time required to obtain a valid output after the global clock is asserted at the clock pin. Minimum global clock period. The minimum period maintained by a globally clocked counter. Internal Timing Parameters Within a device, the timing delays contributed by individual architectural elements are called internal timing parameters, which cannot be measured explicitly. All internal parameters are shown in italic type. Table 2 lists the internal timing microparameters for MAX II and MAX V devices. Table 2. Internal Timing Microparameters for MAX II and MAX V Devices (Part 1 of 2) t LUT t COMB t CLR t PRE Parameter Description LE combinational LUT delay for data-in to data-out. Combinational path delay. The delay from the time when a combinational logic signal from the LUT bypasses the LE register to the time it becomes available at the LE output. LE register clear delay. The delay from the assertion of the register s asynchronous clear input to the time the register output stabilizes at logical low. LE register preset delay. The delay from the assertion of the register s asynchronous preset input to the time the register output stabilizes at logical high. Understanding Timing in Altera CPLDs December 2010 Altera Corporation

Internal Timing Parameters Page 3 Table 2. Internal Timing Microparameters for MAX II and MAX V Devices (Part 2 of 2) t SU t H t CO t C t FASTIO t IN t GLOB t IOE t DL t IODR t OD t XZ t ZX t C4 t R4 t LOCAL Parameter Description LE register setup time before clock. The time required for a signal to be stable at the register's data and enable inputs before the register clock rising edge to ensure that the register correctly stores the input data. LE register hold time after clock. The time required for a signal to be stable at the register's data and enable inputs after the register clock's rising edge to ensure that the register correctly stores the input data. LE register clock-to-output delay. The delay from the rising edge of the register's clock to the time the data appears at the register output. Register control delay. The time required for a signal to be routed to the clock, preset, or clear input of an LE register. Combinational output delay. t FASTIO is the time required for a combinational signal from the LE adjacent to the I/O block using the fast I/O connection. I/O input pad and buffer delay. The t IN applies to I/O pins used as inputs. t GLOB applies to GCLK pins when used for global signals. t GLOB is the delay required for a global signal to be routed from the GCLK pins to the LAB column clocks through the global clock network. Internal generated output enable delay. The delay from an internally generated signal on the interconnect to the output enable of the tri-state buffer. Input routing delay. The delay incurred from the row I/O pin used as input to the LE adjacent to it. Output data delay for the row interconnect. The delay incurred by the signals routed from an interconnect to an I/O cell. Output delay buffer and pad delay. For more information about delay adders associated with different I/O standards, drive strengths, and slew rates, refer to the Timing Model and Specifications section in the DC and Switching Characteristics chapter in the MAX II Device Handbook and the DC and Switching Characteristics for MAX V Devices chapter in the MAX V Device Handbook. Output buffer disable delay. The delay required for high impedance to appear at the output pin after the output buffer s enable control is disabled. For more information about delay adders associated with different I/O standards, drive strengths, and slew rates, refer to the Timing Model and Specifications section in the DC and Switching Characteristics chapter in the MAX II Device Handbook and the DC and Switching Characteristics for MAX V Devices chapter in the MAX V Device Handbook. Output buffer enable delay required for the output signal to appear at the output pin after the tri-state buffer's enable control is enabled. For more information about delay adders associated with different I/O standards, drive strengths, and slew rates, refer to the Timing Model and Specifications section in the DC and Switching Characteristics chapter in the MAX II Device Handbook and the DC and Switching Characteristics for MAX V Devices chapter in the MAX V Device Handbook. Delay for a column interconnect with average loading. t C4 covers a distance of four LAB rows. Delay for a row interconnect with average loading. t R4 covers a distance of four LAB columns. Local interconnect delay. December 2010 Altera Corporation Understanding Timing in Altera CPLDs

Page 4 Internal Timing Parameters for User Flash Memory in MAX II and MAX V Devices Internal Timing Parameters for User Flash Memory in MAX II and MAX V Devices Timing parameters for user flash memory (UFM) in MAX II and MAX V devices are the timing delays contributed by the UFM architectural elements, which cannot be measured explicitly. All timing parameters are shown in italic type. Table 3 lists the timing microparameters for UFM in MAX II and MAX V devices. Table 3. Internal Timing Microparameters for UFM in MAX II and MAX V Devices t ASU t AH t ADS t ADH t DSS t DSH t DDS t DDH t DCO t DP t PB t BP t PPMX t AE t EB t BE t EPMX t RA t OE t OSCS t OSCH Parameter Description Address register shift signal setup to the address register clock. Address register shift signal hold from the address register clock. Address register data in setup to the address register clock. Address register data in hold from the address register clock. Data register shift signal setup to the data register clock. Data register shift signal hold from the data register clock. Data register data in setup to the data register clock. Data register data in hold from the data register clock. Delay incurred from the data register clock to the data register output when shifting the data out. PROGRAM signal to the data clock hold time. Maximum delay between the PROGRAM rising edge and the UFM BUSY signal rising edge. Minimum delay allowed from the UFM BUSY signal going low to the PROGRAM signal going low. Maximum length of the busy pulse during a program. Minimum ERASE signal to the address clock hold time. Maximum delay between the ERASE rising edge and the UFM BUSY signal rising edge. Minimum delay allowed from the UFM BUSY signal going low to the ERASE signal going low. Maximum length of the busy pulse during an erase. Maximum read-access time. The delay incurred between the DRSHFT signal going low to the first bit of data observed at the data register output. Delay from the OSC_ENA signal reaching UFM to the rising clock of OSC leaving UFM. Maximum delay between the OSC_ENA rising edge and the ERASE/PROGRAM signal rising edge. Minimum delay allowed from the ERASE/PROGRAM signal going low to the OSC_ENA signal going low. Understanding Timing in Altera CPLDs December 2010 Altera Corporation

Timing Models Page 5 Timing Models Timing models are simplified block diagrams that illustrate delays through Altera devices. Logic can be implemented on different paths. You can trace the actual paths used in your design by examining the equations listed in the Quartus II Text-Format Report File (.rpt) for the project. You can then add up the appropriate internal timing parameters to estimate the delays through the device. MAX II and MAX V device architecture has a globally routed clock. The MultiTrack interconnect ensures predictable performance, accurate simulation, and accurate timing analysis across all MAX II and MAX V device densities and speed grades. Figure 1 shows the timing model for MAX II and MAX V devices. Figure 1. Timing Model for MAX II and MAX V Devices Output and Output Enable Data Delay t R4 t IODR I/O Input Delay t IN User Flash Memory Input Routing Delay t DL Data-In/LUT Chain t LOCAL Logic Element LUT Delay t LUT t COMB Register Control Delay t C t CO t SU t H t PRE t CLR t C4 t IOE Output Routing Delay t FASTIO Output Delay t OD t XZ t ZX I/O Pin I/O Pin INPUT t GLOB Global Input Delay To Adjacent LE Register Delays From Adjacent LE Combinational Path Delay Data-Out Calculating Timing Delays You can calculate approximate pin-to-pin timing delays for MAX II and MAX V devices with the timing model shown in Figure 1. f For more information, refer to the DC and Switching Characteristics chapter in the MAX II Device Handbook and the DC and Switching Characteristics for MAX V Devices chapter in the MAX V Device Handbook. Each external timing parameter is calculated from a combination of internal timing parameters. Figure 2 through Figure 6 show the external timing parameters for MAX II and MAX V devices. To calculate the delay for a signal that follows a different path through MAX II and MAX V devices, refer to the timing model to determine which internal timing parameters to add together. December 2010 Altera Corporation Understanding Timing in Altera CPLDs

Page 6 Calculating Timing Delays 1 For the most precise timing results, use the Quartus II TimeQuest Timing Analyzer, which accounts for the effects of secondary factors such as placement and fan-out. Figure 2. External Timing Parameter (t PD1 ) (Note 1) MAX II/ MAX V Device LUT TRI Note to Figure 2: (1) t PD1 = t IN + N x t R4 /4 + M x t C4 /4 + t LUT + t COMB + t FASTIO + (t OD + t OD ) t OD is the adder delay (refer to Figure 2) for the t OD microparameter when using an I/O standard other than 3.3-V LVTTL with 16 ma current strength. f For more information about adder delay values, refer to the DC and Switching Characteristics chapter in the MAX II Device Handbook and the DC and Switching Characteristics for MAX V Devices chapter in the MAX V Device Handbook. Table 4 lists the numbers of LABs according to device density. Table 4. Numbers of LABs According to Device Density Device Family Device Density N LAB Rows M LAB Columns MAX II MAX V EPM240 4 6 EPM570 7 12 EPM1270 10 16 EPM2210 13 20 5M40Z 4 6 5M80Z 4 6 5M160Z 4 6 5M240Z (1) 4 6 5M240Z (2) 7 12 5M570Z 7 12 5M1270Z (3) 10 16 5M1270Z (4) 13 20 5M2210Z 13 20 Notes to Table 4: (1) Not applicable to the T144 package of the 5M240Z device. (2) Only applicable to the T144 package of the 5M240Z device. (3) Not applicable to the F324 package of the 5M1270Z device. (4) Only applicable to the F324 package of the 5M1270Z device. Understanding Timing in Altera CPLDs December 2010 Altera Corporation

Calculating Timing Delays Page 7 The following is an external timing example: t PD1 for the 5M240Z device using an I/O standard of 3.3-V LVTTL fast slew rate with a drive strength of 16 ma: t PD1 = t IN + 4 t R4 /4 + 6 x t C4 /4 + t LUT + t COMB + t FASTIO + t OD (a) t PD1 for the 5M240Z device using an I/O standard of 2.5-V LVTTL fast slew rate with a drive strength of 7 ma: t PD1 = (a) + ( t OD of 2.5-V LVTTL fast slew 7 ma) Figure 3. External Timing Parameter (t PD2 ) (Note 1) MAX II/ MAX V Device LUT TRI Note to Figure 3: (1) t PD2 = t IN + t DL + t LUT + t COMB + t FASTIO + (t OD + t OD ) Figure 4. External Timing Parameter (t CO ) (Note 1), (2) LE Register Notes to Figure 4: (1) t CO = t GLOB + t C + t CO + (N x t R4 /4 + M x t C4 /4) + (t IODC or t IODR ) + (t OD + t OD ) (2) The constants N and M are subject to change according to the position of the LAB in the entire device. Figure 5. LE Register Clear and Preset Time (t CLR ) (Note 1) LE Register Note to Figure 5: (1) t CLR = t GLOB + t C + t CLR + (N x t R4 /4 + M x t C4 /4) + (t IODC or t IODR ) + (t OD + t OD ) Figure 6. LE Register Clear and Preset Time (t PRE ) (Note 1) LE Register Note to Figure 6: (1) t PRE = t GLOB + t LOCAL + t C + t PRE + (N x t R4 /4 + M x t C4 /4) + (t IODC or t IODR ) + (t OD + t OD ) December 2010 Altera Corporation Understanding Timing in Altera CPLDs

Page 8 Programmable Input Delay Setup and Hold Time from an I/O Data and Clock Input The Quartus II software might insert additional routing delays from the input pin to the register input to ensure a zero hold time for the LE register. Altera recommends using the Quartus II TimeQuest Timing Analyzer to obtain the setup and hold time. Figure 7 and Figure 8 show the setup and hold time for MAX II and MAX V devices. Figure 7. Setup and Hold Time (t SU ) (Note 1) Combinational Logic LE Register Note to Figure 7: (1) t SU = (t IN + N x t R4 /4 + M x t C4 /4 + t LUT ) - (t GLOB + t C ) + t SU Figure 8. Setup and Hold Time (t H ) (Note 1) Combinational Logic LE Register Note to Figure 8: (1) t H = (t GLOB + t C ) - (t IN + N x t R4 /4 + M x t C4 /4 + t LUT ) + t H 1 For Figure 4 through Figure 8, the constants N and M are subject to change according to the position of the LAB in the entire device for combinational logic implementation. Programmable Input Delay To guarantee a zero hold time, the programmable input delay provides an option to add a delay to the input pin. You can set this option in the Assignment Editor (Assignments menu) on a pin-by-pin basis. To turn on the input delay for the selected input pin in the Quartus II software, perform the following steps: 1. Select the input pin name in the design file. 2. Right-click and select Locate in the Assignment Editor. 3. Double-click the cell under Assignment Name and select Input Delay from Pin to Internal Cells from the pull-down list. 4. Double-click the Value cell to the right of the assignment name just made and enter 1. 5. On the File menu, click Save. Understanding Timing in Altera CPLDs December 2010 Altera Corporation

Timing Model Versus the Quartus II TimeQuest Timing Analyzer Page 9 Timing Model Versus the Quartus II TimeQuest Timing Analyzer Document Revision History Table 5. Document Revision History While hand calculations based on the timing model can provide you with an estimate of your design performance, Altera recommends using the Quartus II TimeQuest Timing Analyzer to obtain the most accurate information on design performance because it takes into account secondary factors that influence the routing microparameters such as: Fan-out for each signal in the delay path Positions of other loads relative to the signal source and destination Distance between the signal source and destination Various interconnect lengths where some interconnects are truncated at the edge of the device Table 5 lists the revision history for this application note. Date Version Changes December 2010 1.0 Initial release. December 2010 Altera Corporation Understanding Timing in Altera CPLDs

Page 10 Document Revision History Understanding Timing in Altera CPLDs December 2010 Altera Corporation