Analysis of Power Gating Structure using CNFET Footer

Similar documents
Performance Optimization of Dynamic and Domino logic Carry Look Ahead Adder using CNTFET in 32nm technology

IJSRD - International Journal for Scientific Research & Development Vol. 2, Issue 03, 2014 ISSN (online):

Comparison of 32nm High-k Metal Gate Predictive Technology Model CMOS and MOSFET-Like CNFET compact Model Based Domino logic Circuits

Experimental Design of a Ternary Full Adder using Pseudo N-type Carbon Nano tube FETs.

A Review Of Conventional And Emerging Power Gating Techniques For Leakage Power Reduction

Design and Analysis of High Frame Rate Capable Active Pixel Sensor by Using CNTFET Devices for Nanoelectronics

Sleepy Keeper Approach for Power Performance Tuning in VLSI Design

Simulation and Analysis of CNTFETs based Logic Gates in HSPICE

Design of low threshold Full Adder cell using CNTFET

LOW LEAKAGE CNTFET FULL ADDERS

INTERNATIONAL JOURNAL OF APPLIED ENGINEERING RESEARCH, DINDIGUL Volume 1, No 3, 2010

Depletion-mode operation ( 공핍형 ): Using an input gate voltage to effectively decrease the channel size of an FET

Carbon Nanotube Based Circuit Designing: A Review

Stanford University. Virtual-Source Carbon Nanotube Field-Effect Transistors Model. Quick User Guide

Fin-Shaped Field Effect Transistor (FinFET) Min Ku Kim 03/07/2018

Low Power Realization of Subthreshold Digital Logic Circuits using Body Bias Technique

Session 10: Solid State Physics MOSFET

LEAKAGE POWER REDUCTION IN CMOS CIRCUITS USING LEAKAGE CONTROL TRANSISTOR TECHNIQUE IN NANOSCALE TECHNOLOGY

MODELLING AND IMPLEMENTATION OF SUBTHRESHOLD CURRENTS IN SCHOTTKY BARRIER CNTFETs FOR DIGITAL APPLICATIONS

A Novel Quaternary Full Adder Cell Based on Nanotechnology

CNTFET Based Analog and Digital Circuit Designing: A Review

Total reduction of leakage power through combined effect of Sleep stack and variable body biasing technique

Design a Low Power CNTFET-Based Full Adder Using Majority Not Function

Performance Evaluation of CNTFET Based Ternary Basic Gates and Half Adder

Efficient CNFET-based Rectifiers for Nanoelectronics

ESTIMATION OF LEAKAGE POWER IN CMOS DIGITAL CIRCUIT STACKS

Low Power Design of Successive Approximation Registers

MOSFET & IC Basics - GATE Problems (Part - I)

Comparative Study of Different Low Power Design Techniques for Reduction of Leakage Power in CMOS VLSI Circuits

Probabilistic Modelling of Performance Parameters of Carbon Nanotube Transistors

Leakage Power Reduction by Using Sleep Methods

Parameter Optimization Of GAA Nano Wire FET Using Taguchi Method

8. Characteristics of Field Effect Transistor (MOSFET)

UNIT-II LOW POWER VLSI DESIGN APPROACHES

3-D Modelling of the Novel Nanoscale Screen-Grid Field Effect Transistor (SGFET)

Sub-threshold Leakage Current Reduction Using Variable Gate Oxide Thickness (VGOT) MOSFET

ISSN Vol.06,Issue.05, August-2014, Pages:

Lecture-45. MOS Field-Effect-Transistors Threshold voltage

Ultra Low Power VLSI Design: A Review

CHAPTER 4 CARBON NANOTUBE TRASISTOR BASED LOW POWER ANALOG ELECTRONIC CIRCUITS REALIZATION

Journal of Electron Devices, Vol. 20, 2014, pp

A Literature Review on Leakage and Power Reduction Techniques in CMOS VLSI Design

Design of low power SRAM Cell with combined effect of sleep stack and variable body bias technique

A Novel Low-Power Scan Design Technique Using Supply Gating

Design of Nano-Electro Mechanical (NEM) Relay Based Nano Transistor for Power Efficient VLSI Circuits

Characterization of Variable Gate Oxide Thickness MOSFET with Non-Uniform Oxide Thicknesses for Sub-Threshold Leakage Current Reduction

LEAKAGE POWER REDUCTION IN CMOS CIRCUITS USING LEAKAGE CONTROL TRANSISTOR TECHNIQUE IN NANOSCALE TECHNOLOGY

Performance Comparison of CMOS and Finfet Based Circuits At 45nm Technology Using SPICE

International Journal on Emerging Technologies 6(1): 24-29(2015) ISSN No. (Print) : ISSN No. (Online) :

A HIGH SPEED & LOW POWER 16T 1-BIT FULL ADDER CIRCUIT DESIGN BY USING MTCMOS TECHNIQUE IN 45nm TECHNOLOGY

Study of Outpouring Power Diminution Technique in CMOS Circuits

Leakage Power Reduction for Logic Circuits Using Variable Body Biasing Technique

A Novel Dual Stack Sleep Technique for Reactivation Noise suppression in MTCMOS circuits

ECE 5745 Complex Digital ASIC Design Topic 2: CMOS Devices

Transistor was first invented by William.B.Shockley, Walter Brattain and John Bardeen of Bell Labratories. In 1961, first IC was introduced.

MOSFET short channel effects

CHAPTER 3 TWO DIMENSIONAL ANALYTICAL MODELING FOR THRESHOLD VOLTAGE

INTRODUCTION: Basic operating principle of a MOSFET:

NOVEL OSCILLATORS IN SUBTHRESHOLD REGIME

Alternative Channel Materials for MOSFET Scaling Below 10nm

CNTFET Based Energy Efficient Full Adder

Design Methodology Based on Carbon Nanotube Field Effect Transistor(CNFET)

Power and Energy. Courtesy of Dr. Daehyun Dr. Dr. Shmuel and Dr.

UNIT-VI FIELD EFFECT TRANSISTOR. 1. Explain about the Field Effect Transistor and also mention types of FET s.

Design & Performance Analysis of DG-MOSFET for Reduction of Short Channel Effect over Bulk MOSFET at 20nm

Leakage Diminution of Adder through Novel Ultra Power Gating Technique

Digital Electronics. By: FARHAD FARADJI, Ph.D. Assistant Professor, Electrical and Computer Engineering, K. N. Toosi University of Technology

Ambipolar electronics

Characterization of 6T CMOS SRAM in 65nm and 120nm Technology using Low power Techniques

UNIT 3: FIELD EFFECT TRANSISTORS

SIMULATION STUDY OF BALLISTIC CARBON NANOTUBE FIELD EFFECT TRANSISTOR

HIGH SPEED MULTIPLE VALUED LOGIC FULL ADDER USING CARBON NANO TUBE FIELD EFFECT TRANSISTOR

Leakage Power Reduction Through Hybrid Multi-Threshold CMOS Stack Technique In Power Gating Switch

MOS Capacitance and Introduction to MOSFETs

Semiconductor Physics and Devices

Optimization of power in different circuits using MTCMOS Technique

ISSN:

Future MOSFET Devices using high-k (TiO 2 ) dielectric

Reducing the Sub-threshold and Gate-tunneling Leakage of SRAM Cells using Dual-V t and Dual-T ox Assignment

UNIT-1 Fundamentals of Low Power VLSI Design

SCALING AND NUMERICAL SIMULATION ANALYSIS OF 50nm MOSFET INCORPORATING DIELECTRIC POCKET (DP-MOSFET)

LEAKAGE POWER REDUCTION TECHNIQUES FOR LOW POWER VLSI DESIGN: A REVIEW PAPER

Power-Gating Structure with Virtual Power-Rail Monitoring Mechanism

Introduction to VLSI ASIC Design and Technology

A Novel Multi-Threshold CMOS Based 64-Bit Adder Design in 45nm CMOS Technology for Low Power Application

Minimizing the Sub Threshold Leakage for High Performance CMOS Circuits Using Stacked Sleep Technique

Performance of Near-Ballistic Limit Carbon Nano Transistor (CNT) Circuits

3084 IEEE TRANSACTIONS ON NUCLEAR SCIENCE, VOL. 60, NO. 4, AUGUST 2013

Analysis of Total Voltage Source Power Dissipation in 6t Cntfet Sram and Force Stacking Cntfet Sram at Low Supply Voltage

Ultra-low voltage high-speed Schmitt trigger circuit in SOI MOSFET technology

MEASUREMENT AND INSTRUMENTATION STUDY NOTES UNIT-I

Design cycle for MEMS

Implementation of Mod-16 Counter using Verilog-A Model of CNTFET

Design of 45 nm Fully Depleted Double Gate SOI MOSFET

Sub-Threshold Region Behavior of Long Channel MOSFET

ECE520 VLSI Design. Lecture 2: Basic MOS Physics. Payman Zarkesh-Ha

ZIGZAG KEEPER: A NEW APPROACH FOR LOW POWER CMOS CIRCUIT

Low Power and High Speed Multi Threshold Voltage Interface Circuits Sherif A. Tawfik and Volkan Kursun, Member, IEEE

Designing and Simulation of Full Adder Cell using Self Reverse Biasing Technique

Design and Optimization of Half Subtractor Circuits for Low-Voltage Low-Power Applications

Transcription:

, October 19-21, 211, San Francisco, USA Analysis of Power Gating Structure using CNFET Footer Woo-Hun Hong, Kyung Ki Kim Abstract This paper proposes a new hybrid MOSFET/ carbon nanotube FET (CNFET) power gating (PG) structure using 32nm technology in the ultra-low voltage region (~.4V). Traditionally, power gating structure is one of the most effective methods to reduce the power dissipation of systems in sleep mode, but it suffers from increased propagation delay and wake-up time due to the high threshold voltage of power switches in the low voltage region. In this paper, to reduce the propagation delay and wake-up time of the power gating structure while keeping low leakage power in the sleep mode, the CNFET power switches are combined with silicon MOSFET logic-cells. In addition, this hybrid structure reduces the time gap in switching over from silicon MOSFET to CNFET technology. The simulation results of the proposed hybrid power gating at.4v are compared to those of the logic blocks without power gating and the MOSFET power gating structure using low threshold voltage power switches, and they show that the proposed hybrid structure reduces the total leakage power by 69.7%, the rush-current by 5.13%, and the delay by 5.96%, on average, compared to the conventional power gating structure for ISCAS85 benchmark circuits designed in 32nm technology; the proposed structure reduces the total leakage by 95.85% at the cost of 3% delay penalty, compared to the logic blocks without power gating for ISCAS85 benchmark circuits designed in 32nm technology. Index Terms Carbon Nanotube FET, CNFET, Power Gating, Leakage Power, Sleep Mode A I. INTRODUCTION S technology scales down to 9nm and below, the bulk CMOS technology has approached the scaling limit due to the increased short-channel effects, increased leakage power dissipation, severe process variations, high power density, and so on. To overcome this scaling limit, different types of materials have been experimented. Si-MOSFET-like Carbon nanotube FET (CNFET) devices have been evaluated as one of the promising replacements in the future nanoscale electronics. The reason that makes CNFETs a promising device is that they have compatibility with high dielectric constant materials and a unique one-dimensional bandstructure which restrains back- scattering and which makes near-ballistic operation a realistic possibility; by using this CNFET, a high-k gate oxide can be deployed for lower leakage currents while keeping the on-current drive capability (compared to Si-MOSFET); CNFET has lower Manuscript received June 6, 211; revised August 15, 211. W.H. Hong is with the School of Electronic Engineering, Daegu University, Gyeongsan, South Korea (e-mail: blackcat3313@daegu.ac.kr). K.K. Kim is with the School of Electronic Engineering, Daegu University, Gyeongsan, South Korea (corresponding author to provide phone: +82-53-85-6649; fax: +82-53-85-6619; e-mail: kkkim@ daegu.ac.kr). short-channel effect and a higher sub-threshold slope than Si-MOSFET [1]-[3]. Despite the recent promising progress of CNFETs, the fabrication of at very large digital circuits on a single substrate has not been achieved. One of the main reasons is the high fabrication cost of CNFETs. Hence, for cost effective utilization of CNFETs and the time-gap reduction in switching over from silicon MOSFET to CNFET technology, the CNFET technology is required to be combined with low cost CMOS technology. Several papers have proposed the hybrid MOSFET/CNFET structure, but the structure is only for simple circuits such as ring oscillator and inverting amplifier [4][5]. Thus, in this paper, we propose a new hybrid MOSFET/CNFET power gating structure which can combine CNFETs with complex silicon MOSFET logic circuits. Power gating (hereafter called PG) structure is one of the most well-known techniques to reduce the subthreshold leakage in sleep mode where a header and/or footer with high threshold voltage (called sleep transistor) is added between actual power/ground rail and virtual power/ground [6][7]. However, the PG structure is no longer effective in the low voltage region because the high-v th of the power switches degrades the operation frequency and increases wake-up time rapidly at the low voltage. As a solution to these issues at the low voltage, in this paper, the MOSFET sleep transistors are replaced by CNFET sleep transistors while MOSFETs are still used for all the cells of logic blocks connected to the sleep transistors. This hybrid technique is very cost-effective and easily implemented, compared to cell-modified hybrid techniques; it is certain that the PG structure is one of the best circuit topologies to which the hybrid MOSFET/CNFET technology can be applied. The remainder of this paper is organized as follows. Section II shows the concept of the CNFET structure. Section III presents the new PG structure using a CNFET footer. The simulation results are shown in Section IV followed by conclusion in Section V. II. CARBON NANOTUBE FIELD EFFECT TRANSISTOR Carbon nanotube FETs employ semiconducting singlewall carbon nanotubes to assemble electronic devices: the single walled CNFET is obtained by replacing the channel of a conventional MOSFET by carbon nantotubes (a onedimensional conductor obtained by rolling a sheet of graphite) as shown in Fig. 1 [2]. The CNFET device has four terminals (drain, gate, source, and back-gate): a dielectric film is wrapped around a portion of the undoped nanotube in the intrinsic region, and a metal gate surrounds the dielectric; whereas the other nanotube regions are heavily doped for a

, October 19-21, 211, San Francisco, USA 3u NMOS CNFET NMOS MOSFET 2u V GS 1u V Fig. 1. CNFET structure: Cross sectional view, Top view. low series resistance during the ON-state. As shown in Fig. 1, the top gated CNFETs are fabricated on an oxidized Si-substrate that can be used as a back-gate in the CNFET. In the early 199s, most CNFETS studied had adopted a back-gate top-contact structure [8][9], in which the nanotubes are grown on a conducting substrate covered by an insulating layer. Two metal contacts are deposited on the nanotube to serve as source and drain electrodes, while the conducting substrate is the gate electrode in this threeterminal device. However, these early CNFETs are found to have poor device characteristics such as an ambipolar transistor characteristic and gentle sub-threshold swing. In order to eliminate the poor device characteristics, dual-gate CNFET structures have proposed. The structures show a MOSFET-like unipolar transistor characteristic, excellent sub-threshold slopes, and a drastically improved OFF state. Each device has one or more single-wall carbon nanotubes which is a semiconductor: the nanotubes can be either a conductor (metal) or a semiconductor according to the angle (represented as a chirality integer vector (n,m)) of the atom arrangement along the nanotube: the nanotube is metallic if (n=m) or (n m= a multiple of three ), otherwise the tube is semiconducting. The currents of the CNFET device are controlled by adjusting device parameters such as gate length (Lch), the number of nanotubes, chirality vector, and pitch distance between nanotubes [1]. As the gate voltage increases or decreases, the device is electro-statically turned on or off through the gate node. The drain current characteristics of a 32nm N-type CNFET are presented in Fig. 2, where the characteristics are compared to those of the N-type MOSFET. I DS (drain current) of the CNFET is saturated at higher V DS (drain-to-source voltage) as V GS (gate-to-source voltage) increases as shown in Fig. 2, where the amount of I DS of the CNFET is greater than that of the MOSFET although the CNFET width is 6.35nm (5nm of the pitch length and 1.35nm of the diameter) and the MOSFET width is 64nm. According to the simulation result, the device size would be reduced by approximately an order of magnitude when the CNFET is replaced with the MOSFET. In the sub-threshold (weak inversion) region, the characteristics of the CNFET show that I DS of the CNFET is much greater than that of MOSFET, and that the CNFFET almost does not have Drain-induced barrier lowering (DIBL) and Gate-induced drain leakage (GIDL) effects; whereas the MOSFET has the effects - I DS increases as VDS increases; I DS can increase at a negative VGS. Figure 2 illustrates I DS characteristics of the N-type CNFET in the weak inversion region, and shows that the CNFET would be a more practical Log 1u 1n 1n 1n 1p 1p 1p 1f 1f 2m 4m 6m 8m V DS (V) NMOS CNFET NMOS MOSFET V DS 1f -.5 -.4 -.2.2.4.5 Fig. 2. Drain current of a 32nm N-type CNFET and a 32nm N-type MOSFET as a function of: Drain-to-source voltage for different gate-to-drain voltage, Gate-to-source voltage for different drain- to-source voltage, where the (n,m) of the CNFET is (17,), the number of nanotubes of the CNFET is 2, the width of the MOSFET is 64nm, the back-gate voltage is V, and temperature is 25 C. 4u 3u 2u 1u 3.n 2.n 1.n V BG -.5.2 V DS W/ Back gate biasing W/o Back gate biasing -.5.5 1. V BG= V BG V BG = Fig. 3. Drain current of a 32nm N-type CNFET as a function of Gate-to- source voltage for different back-gate voltage, where the (n,m) of the CNFET is (17,), the number of nanotubes of the CNFET is 2, the width of the MOSFET is 64nm, and temperature is 25 C. solution in the sub-threshold logic design that requires a smaller area than the MOSFET. Figure 3 shows the back-gate voltage (V BG ) impact on the drain current (I DS ) of a 32nm NMOS CNFET; V BG increases I DS approximately by 3% depending on the top-gate voltage (V GS ). Especially, a small amount of drain current can be generated by V BG at zero gate voltage.

, October 19-21, 211, San Francisco, USA Fig. 4. Hybrid power gating structure having a CNFET footer. 6.2e-1 6.e-1 5.8e-1 5.6e-1 5.4e-1 5.2e-1 5.e-1 4.8e-1 Dependence of & Leakage Power on Chirality Vector (Vth) # of nanotubes = 1 Pitch distance = 5nm Leakage Power 2.5e-8 2.e-8 1.5e-8 1.e-8 5.e-9 4.6e-1 11 (.499V) 14 (.392V) 17(.323V) 2(.289V) 23(.25V) 26(.22V) Chirality Vector n1 (Vth) 1e-9 Dependence of & Leakage Power on the number of Nanotube Chirality vector = (17,) Pitch distance = 5nm 2.6e-9 2.4e-9 Fig. 5. Cross-section of a silicon nmos with CNFET integration. III. POWER GATING STRUCTURE USING CNFET FOOTER This section proposes a new hybrid MOSFET/CNFET PG structure for cost effective utilization of CNFETs. The new hybrid structure solves the low-performance issue caused by high-v th of the conventional MOSFET PG structure at the low voltage while keeping low leakage-power dissipation in sleep mode. Figure 4 shows the hybrid PG structure diagram where an N-CNFET (footer) is placed in series to low-v th CMOS circuits, and the back-gate node of the N-CNFET is connected to a ground. Figure 5 presents an example of hybrid MOSFET/CNFET integration which is a three-mask optical lithography fabrication process suggested in Ref. [11]. The MOSFET/CNFET PG scheme is the same as the MOSFET PG scheme, but the device parameters of the CNFET footer are different from those of a MOSFET footer. Therefore, the main issue in the hybrid PG structure is to find optimum CNFET device parameters to achieve high speed in active mode and low leakage power in sleep mode. First of all, the optimum threshold voltage should be determined for the speed and leakage power of the PG structure because the current-voltage characteristics of the CNFETS are similar to those of MOSFET as follows [12]: I n g ( V V V ) CNFET DD SS th, where n is the number of nanotubes per device, V th, is the threshold voltage, g is the transconductance per nanotube, and V SS is the voltage drop between the inner source and the external source node. In the CNFET device, the channel threshold voltage of the CNFET device can be derived from an inverse function of the diameter of a CNFET as follows [12]: V th (1) E g 3 av (2) 2e 3 ed where E g is the band-gap energy, and a (~2.49Å) is the inter-atomic distance between each carbon atom and its 8e-1 6e-1 4e-1 1.4e-9 Leakage Current 2e-1 1.2e-9 2 4 6 8 1 12 14 16 18 2 # of Nanotube 6.8e-1 6.6e-1 6.4e-1 neighbor, V is the carbon - bond energy in the tight bonding model, e is the unit electron charge, and D is the carbon nanotube diameter. The diameter is calculated using the following equation [12]. D Dependence of & Leakage Power on Nanotube Pitch Chirality vector = (17,) # of nanotubes = 1 2.2e-9 2.e-9 1.8e-9 1.6e-9 6.2e-1 1.74e-9 6.e-1 1.72e-9 5.8e-1 1.7e-9 5.6e-1 1.68e-9 5.4e-1 1.66e-9 5.2e-1 2 4 6 8 1 12 14 16 18 2 Pitch (nm) (c) Leakage Current 1.8e-9 1.78e-9 1.76e-9 Fig. 6. Impact of CNFET device parameters on the speed and leakage power: Chirality vector, # of nanotubes, (c) Pitch distance 2 2 a n1 n1n2 n2 (3) where n1 and n2 are the chirality vector which is represented by the integer pair (n1,n2). Therefore, the diameter of CNFET, that is, the chirality vector (n1,n2) should be firstly assigned to determine the threshold voltage of CNFET. In addition, the number of nanotubes is another key parameter of the CNFET current according to Eqn. (1). Finally, the pitch distance between nanotubes is the other key parameter due to a screening effect between nanotubes. As for the leakage power of CNFET, the main leakage component is caused by the band-to-band tunneling (BTBT)

, October 19-21, 211, San Francisco, USA Wake-up Time (sec) 1.8e-7 1.6e-7 1.4e-7 1.2e-7 1.e-7 8.e-8 6.e-8 4.e-8 2.e-8 2.5e-7 2.e-7 1.5e-7 1.e-7 5.e-8 of Inverter Chain vs. Supply Voltage 1.2e-8 1.e-8 8.e-9 6.e-9 4.e-9 2.e-9 Inverter chain w/o PG Inverter chain w/ MOSFET PG Inverter chain w/ Hybrid PG.5.6.7.8.9.4.5.6.7.8.9 Wake-up time vs. Supply Voltage.4.5.6.7.8.9 Inverter Chain w/ Hybrid PG Inverter Chain w/ MOSFET PG Fig. 7. and Wake-up time dependence of power gating structure on supply voltage: dependence of PG on supply voltage, Wake-up time dependence of PG on supply voltage. mechanism through the semiconducting subbands [13]. In the sub-threshold region, the BTBT current from drain to source becomes significant, and it is also affected by V th (chirality vector) and the number of nanotubes. The other leakage currents are relatively small and can be reduced by new techniques such as high-k dielectric material. Figure 6 shows the impact of CNFET device parameters (the chirality vector, the number of nanotubes, and the pitch distance) on the circuit delay and the leakage power in a hybrid PG structure consisting of a CNFET footer and 2 MOSFET inverter chains with each one having 2 inverters using 32nm technology; the chirality vector of the footer is changed from (11,) to (26,); the supply voltage is.9v, the temperatures are 25 C (for leakage measurement) and 125 C (for delay measurement). The simulation results show that the delay and leakage power are more affected by the chirality vector and the number of nanotubes than the pitch distance. Especially, the delay-leakage power product significantly increases at around chirality vector (17,). Also, the product has a minimum value when the number of nanotube is around 1. In order to compare the hybrid PG structure with a MOSFET PG structure, we simulated the same inverter chains using the MOSFET PG structure, whose footer size is 1% of the total NMOS width in the inverter chain. The simulation results present that the delay and leakage power of the MOSFET PG structure are.555nsec and 1.741nW, respectively. From these simulation results, it is demonstrated that the hybrid PG structure can decrease the delay and leakage power of the PG structure even using smaller footer (about 1% of the total NMOS width in the inverter chain) compared to its MOSFET counterpart. As the power supply voltage decreases below.9v, the advantage of the hybrid PG structure becomes clearer. Figure 7 presents a simulation result showing the impact of the power supply voltage on the circuit delay of the hybrid PG and MOSFET PG. The simulation results are compared with those of the inverter chains without PG footer. The area overhead of the MOSFET PG is 1% of the total NMOS width, and the area overhead of the hybrid PG is 1% (# of nanotube = 1, pitch=5nm, and chirality vector = (17,)) of the total NMOS width. As VDD decreases below.7v in active mode, the hybrid PG structure becomes more than twice faster than the MOSFET PG structure, and its delay decreases by 7% compared to the MOSFET PG structure at.5v. Moreover, the delay of the MOSFET PG structure is exponentially increased at a VDD below.6. In addition, as the supply voltage scales down, the wake-up time (sleep-to-active mode transition time) of the MOSFET PG structure significantly increases due to the high threshold voltage of the MOSFET footer, as shown in Fig. 7 ; whereas the wake-up time of the hybrid PG structure increases a little enough to be negligible, compared to the wake-up time of the MOSFET PG. These simulation results demonstrate that the proposed hybrid MOSFET/CNFET PG structure is very effective in the low voltage region. IV. SIMULATION RESULTS The proposed hybrid MOSFET/CNFET PG structure has been implemented and evaluated using ISCAS 85 benchmark circuits designed in 32nm predictive technology model [27] at.9v and.4v supply voltage. For NMOSFET in the ISCAS85 circuits, a high-k metal gate with V th =.49V and tox=1.15nm is used; for PMOS in the ISCAS85 circuits, a high-k metal gate with V th =.49V and tox=1.2nm is used. For PG footers in the proposed hybrid PG, a 32nm Stanford CNFET HSPICE model [18] has been employed: the chirality vector of the N-CNFET footer is (17,), and the pitch distance of the N-CNFET footer is 5nm. In addition, to get more accurate results, a power network model is used: L (bonding/package inductance) is 2nH, R (supply network resistance) is 5ohm, and C (supply network capacitance) is 5pF. The MOSFET footer size is 1% of the total NMOS width in each original logic block, whereas the size of the CNFET footer is 1% of the total NMOS width. All the simulation results have been measured using random input test vectors at two temperatures (25 C for sleep and 125 C for active mode). In order to show the efficiency of the proposed hybrid PG in the ultra-low voltage region, the PG has been evaluated at.4v. The leakage power, circuit delay, wake-up time, and rush-current of the proposed hybrid PG structure are normalized by those of the conventional PG structure with low-v th footers (the high-v th NMOSFET footers should not be used due to exponentially increased delay and increased wake-up time at the ultra- low voltage). Also, the leakage power and circuit delay of the proposed hybrid PG are normalized by those of logic blocks without PG structure. As shown in Table I, the simulation results show that the

, October 19-21, 211, San Francisco, USA TABLE I SIMULATION RESULTS FOR ISCAS85 CIRCUITS WITHOUT PG (VDD=.4V) Normalized by Normalized by Logic Block (w/o PG) Conventional PG (with low-vth NMOSFET footers) Logic Avg. Leakage Avg. Leakage Power Wakeup Time Rush Current Area Power C432 49 1.5.335.981 1.94.777.1 C499 79 1.33.5.966 1.128.944.1 C88 47 1.28.353.977 1.132 1.112.1 C1355 5 1.41.271.961 1.262 1.272.1 C198 3 1.11.288.99 1.114.95.1 C267 32 1.41.28.82 1.63.862.1 C354 29 1.19.221.982.99.845.1 C5315 35 1.4.274.981.946.969.1 C6288 37 1.47.332.775 1.143 1.65.1 C7552 29 1.6.238.991.745.735.1 Avg. Reduction Rate -95.85 (%) 2.72(%) -69.7 (%) -5.96 (%) 14.64 (%) -5.13 (%) -9 (%) proposed hybrid PG structure reduces the leakage by 69.7%, the delay by 5.96%, the rush-current by 5.13%, and the area by 9% on average for the ISCAS circuits compared to the MOSFET PG structure, whereas the hybrid PG increases the wake-up time by 14.64%. At the ultra-low voltage, the hybrid PG structure yields high performance while reducing the area overhead and keeping low leakage-power dissipation. In the real design situation, the conventional PG structure with low-v th footers should not be deployed due to increased leakage power although it would reduce circuit delay compared to the conventional PG with high-v th footers. However, the proposed PG structure is imposed a small delay penalty (~3% compared to logic block) in the ultra-low voltage region. The experimental results demonstrate that the proposed hybrid PG structure is very effective and viable in reducing the delay, leakage power, and area overhead of PG structures in the ultra-low voltage region. V. CONCLUSION For cost effective utilization of CNFETs, a new PG structure with the CNFET footer has been proposed. This new hybrid scheme makes the PG structure extended to the ultra-low voltage region. The simulation results show that the proposed hybrid PG is a practicable and low-cost solution for high energy reduction in the low voltage nanometer regime. The proposed PG structure would be a practicable solution for low power circuit design with a small delay penalty (~3% compared to logic block) in the ultra-low voltage region. ACKNOWLEDGMENT This work was supported by IC Design Education Center(IDEC). Carbon Nanotube FETs: Frequently Asked Questions," IEEE DAC 9, pp. 34-39, July 26-31, 29. [4] F. A. Usmani, M. Hasan, Novel hybrid CMOS and CNFET inverting amplifier design for area, power, and powermance optimization, in Proc. IEEE IEDST, pp. 1-5, June 29. [5] M. Zhang, P. Chan, Y. Chai, Q. Liang, Z. K. Tang, Novel local silicon-gate carbon nanotube transistors combining silicion-on-insulator technology for integration, IEEE Transaction on Nanotechnology, Vol. 8, No. 2, pp. 26-268, March 29. [6] M. Anis, S. Areibi and M. Elmasry, "Design and Optimization of Multi-Threshold CMOS (MTCMOS) Circuits," IEEE Tran. on CAD of Integrated Circuits and Systems, Vol. 22, No. 1, pp. 1324-1242, October 23. [7] K. Shi and D. Howard, Challenges in sleep transistor design and implementation in low-power designs, in Proc. IEEE Design Automation Conf., pp. 113-116, July 26. [8] P. L. McEuen, M. S. Fuhrer, and H. Park, Single-walled carbon nanotube electronics, IEEE Trans. Nanotechnology, Vol. 1, No. 1, pp. 78-85, Mar. 22. [9] P. Avouris, J. Appenzeller, R. Martel, and S. J. Wind, Carbon nanotube electronics, Proc. IEEE, Vol. 91, No. 11, pp. 1772-1784, Nov. 23. [1] Stanford University CNFET Model website [Online]. Available: http://nano.stanford.edu /model.php?id=23. [11] D. Akinwande, S. Yasuda, B. Paul, et. al., Monolithic integration of CMOS VLSI and for hybrid, in Proc. Solid-State Device Research Conf., pp. 91-94, Sept. 28. [12] J. Deng, Device modeling and circuit performance evaluation for nanoscale devices: silicon technology beyond 45nm node and carbon nanotube field effect transistors, Stanford University, Ph.D Dissertation, Chap 7, 27. [13] Ali Jarey, Jing Kong, Carbon Nanotube Electronics, Springer, 29. REFERENCES [1] A. Akturk, G. Pennington, N. Goldsman, A. Wickenden, Electron Transport and Velocity Oscillations in a Carbon Nanotube, IEEE Trans. Nanotechnology, Volume 6, Issue 4, pp 469 474, July 27. [2] H. Hashempour, F. Lombardi, Device Model for Ballistic CNFETs Using the First Conducting Band, IEEE Des. Test. Comput., Vol. 25, Issue 2, pp 178-186, March-April 28. [3] Nishant Patil, Albert Lin, Jie Zhang, H. S. Philip Wong, Subhasish Mitra, "Digital VLSI Logic Technology using