ECEN 720 High-Speed Links: Circuits and Systems. Lab3 Transmitter Circuits. Objective. Introduction. Transmitter Automatic Termination Adjustment

Similar documents
ECEN 720 High-Speed Links Circuits and Systems

ECEN 720 High-Speed Links: Circuits and Systems

ECEN689: Special Topics in High-Speed Links Circuits and Systems Spring 2012

To learn fundamentals of high speed I/O link equalization techniques.

5Gbps Serial Link Transmitter with Pre-emphasis

A Variable-Frequency Parallel I/O Interface with Adaptive Power Supply Regulation

ECEN620: Network Theory Broadband Circuit Design Fall 2014

if the conductance is set to zero, the equation can be written as following t 2 (4)

UMAINE ECE Morse Code ROM and Transmitter at ISM Band Frequency

Design of High-Speed Op-Amps for Signal Processing

A 5-Gb/s 156-mW Transceiver with FFE/Analog Equalizer in 90-nm CMOS Technology Wang Xinghua a, Wang Zhengchen b, Gui Xiaoyan c,

ECEN689: Special Topics in Optical Interconnects Circuits and Systems Spring 2016

ISSCC 2003 / SESSION 10 / HIGH SPEED BUILDING BLOCKS / PAPER 10.8

ECEN689: Special Topics in High-Speed Links Circuits and Systems Spring 2010

ECEN689: Special Topics in High-Speed Links Circuits and Systems Spring 2012

To learn S-parameters, eye diagram, ISI, modulation techniques and their simulations in MATLAB and Cadence.

Design of Low Power High Speed Fully Dynamic CMOS Latched Comparator

DESIGN AND VERIFICATION OF ANALOG PHASE LOCKED LOOP CIRCUIT

High-Speed Circuits and Systems Laboratory B.M.Yu. High-Speed Circuits and Systems Lab.

Electronic Circuits EE359A

An Analog Phase-Locked Loop

A 10Gbps Analog Adaptive Equalizer and Pulse Shaping Circuit for Backplane Interface

A 14-bit 2.5 GS/s DAC based on Multi-Clock Synchronization. Hegang Hou*, Zongmin Wang, Ying Kong, Xinmang Peng, Haitao Guan, Jinhao Wang, Yan Ren

Module 4 : Propagation Delays in MOS Lecture 19 : Analyzing Delay for various Logic Circuits

/$ IEEE

IN the design of the fine comparator for a CMOS two-step flash A/D converter, the main design issues are offset cancelation

A10-Gb/slow-power adaptive continuous-time linear equalizer using asynchronous under-sampling histogram

Design and Simulation of Low Voltage Operational Amplifier

Basic Circuits. Current Mirror, Gain stage, Source Follower, Cascode, Differential Pair,

A 19-GHz Broadband Amplifier Using a g m -Boosted Cascode in 0.18-μm CMOS

CHAPTER 5 DESIGN AND ANALYSIS OF COMPLEMENTARY PASS- TRANSISTOR WITH ASYNCHRONOUS ADIABATIC LOGIC CIRCUITS

HIGH-SPEED LOW-POWER ON-CHIP GLOBAL SIGNALING DESIGN OVERVIEW. Xi Chen, John Wilson, John Poulton, Rizwan Bashirullah, Tom Gray

Analysis and Design of Analog Integrated Circuits Lecture 20. Advanced Opamp Topologies (Part II)

CPE/EE 427, CPE 527 VLSI Design I: Homeworks 3 & 4

Signal Technologies 1

A 2-byte Parallel 1.25 Gb/s Interconnect I/O Interface with Self-configurable Link and Plesiochronous Clocking

Radivoje Đurić, 2015, Analogna Integrisana Kola 1

0.85V. 2. vs. I W / L

SERIALIZED data transmission systems are usually

DESIGN OF A FULLY DIFFERENTIAL HIGH-SPEED HIGH-PRECISION AMPLIFIER

20Gb/s 0.13um CMOS Serial Link

ECEN 474/704 Lab 5: Frequency Response of Inverting Amplifiers

Design for MOSIS Educational Program (Research) Testing Report for Project Number 89742

A 16Ω Audio Amplifier with 93.8 mw Peak loadpower and 1.43 quiscent power consumption

Atypical op amp consists of a differential input stage,

Written Examination on. Wednesday October 17, 2007,

Radivoje Đurić, 2015, Analogna Integrisana Kola 1

Chapter 13: Introduction to Switched- Capacitor Circuits

12.5 Gb/s JESD204B Compliant Transmitter Design in 28nm FD-SOI Technology

To learn S-parameter, eye diagram, ISI, modulation techniques and to simulate in Matlab and Cadence.

EFFICIENT LOW POWER DYNAMIC COMPARATOR FOR HIGH SPEED ADC s

ECEN720: High-Speed Links Circuits and Systems Spring 2017

EE290C - Spring 2004 Advanced Topics in Circuit Design High-Speed Electrical Interfaces. Outline

DFT for Testing High-Performance Pipelined Circuits with Slow-Speed Testers

A Bottom-Up Approach to on-chip Signal Integrity

AVoltage Controlled Oscillator (VCO) was designed and

A Low-Jitter Phase-Locked Loop Based on a Charge Pump Using a Current-Bypass Technique

Low Power, Wide Bandwidth Phase Locked Loop Design

NOVEMBER 29, 2017 COURSE PROJECT: CMOS TRANSIMPEDANCE AMPLIFIER ECG 720 ADVANCED ANALOG IC DESIGN ERIC MONAHAN

Nonlinear Macromodeling of Amplifiers and Applications to Filter Design.

A 5-8 Gb/s Low-Power Transmitter with 2-Tap Pre-Emphasis Based on Toggling Serialization

CMOS Digital Integrated Circuits Lec 11 Sequential CMOS Logic Circuits

電子電路. Memory and Advanced Digital Circuits

IN the face of shrinking feature size, one of the major

10.1: A 4 GSample/s 8b ADC in 0.35-um CMOS

ECEN720: High-Speed Links Circuits and Systems Spring 2017

Common-Source Amplifiers

A 10-Gb/s Multiphase Clock and Data Recovery Circuit with a Rotational Bang-Bang Phase Detector

ISSCC 2006 / SESSION 13 / OPTICAL COMMUNICATION / 13.2

EE 501 Lab 4 Design of two stage op amp with miller compensation

EE 332 Design Project

Experiment 1: Amplifier Characterization Spring 2019

To learn statistical bit-error-rate (BER) simulation, BER link noise budgeting and using ADS to model high speed I/O link circuits

A digital phase corrector with a duty cycle detector and transmitter for a Quad Data Rate I/O scheme

ENEE307 Lab 7 MOS Transistors 2: Small Signal Amplifiers and Digital Circuits

DESIGN OF MULTIPLYING DELAY LOCKED LOOP FOR DIFFERENT MULTIPLYING FACTORS

ECEN 474/704 Lab 6: Differential Pairs

Due to the absence of internal nodes, inverter-based Gm-C filters [1,2] allow achieving bandwidths beyond what is possible

ISSN: X Impact factor: 4.295

Current Mode Interconnect

Accomplishment and Timing Presentation: Clock Generation of CMOS in VLSI

EE 230 Lab Lab 9. Prior to Lab

ECE 415/515 ANALOG INTEGRATED CIRCUIT DESIGN

DESIGN OF A NOVEL CURRENT BALANCED VOLTAGE CONTROLLED DELAY ELEMENT

A High-Driving Class-AB Buffer Amplifier with a New Pseudo Source Follower

Rail-To-Rail Output Op-Amp Design with Negative Miller Capacitance Compensation

Federal Urdu University of Arts, Science & Technology Islamabad Pakistan THIRD SEMESTER ELECTRONICS - II BASIC ELECTRICAL & ELECTRONICS LAB

Nonlinear Macromodeling of Amplifiers and Applications to Filter Design.

Analog CMOS Interface Circuits for UMSI Chip of Environmental Monitoring Microsystem

DIGITAL VLSI LAB ASSIGNMENT 1

Homework Assignment 07

d. Can you find intrinsic gain more easily by examining the equation for current? Explain.

on-chip Design for LAr Front-end Readout

Design and Layout of Two Stage High Bandwidth Operational Amplifier

ISSCC 2004 / SESSION 26 / OPTICAL AND FAST I/O / 26.8

A PSEUDO-CLASS-AB TELESCOPIC-CASCODE OPERATIONAL AMPLIFIER

INTEGRATED CIRCUITS. AN109 Microprocessor-compatible DACs Dec

D f ref. Low V dd (~ 1.8V) f in = D f ref

Multiplexer for Capacitive sensors

CMOS Transistor and Circuits. Jan 2015 CMOS Transistor 1

Transcription:

1 ECEN 720 High-Speed Links: Circuits and Systems Lab3 Transmitter Circuits Objective To learn fundamentals of transmitter and receiver circuits. Introduction Transmitters are used to pass data stream through transmission lines in either current or voltage mode. In high data rate applications, the output impedance of transmitters should match the transmission lines characteristic impedance and should be tunable in order to compensate the process, voltage, and temperature variations. The multiplexing technique can be used to extend transmitters signaling bandwidth. Transmitter Automatic Termination Adjustment On-chip termination resistance suffers from process, voltage, and temperature variations. It is very difficult to obtain an accurate termination resistance value. Therefore, in high performance link designs, automatic termination adjustment is often implemented. Figure 1 shows an example of automatic termination adjustment. PMOS transistors are the on-chip terminator replica (slave). In practical chip design, the replica is located near the main PMOS termination resistor (master) in order to reduce device mismatch. External reference resistor is equal to the characteristic impedance of the transmission line. Both reference resistor and PMOS termination resistors are connected to one reference voltage (VDD in this case) and two identical current sources. A clocked comparator is used to measure the voltage difference across the resistors. An up/down signal is produced and drives the counter to tune the PMOS termination resistors. Since the tuning circuit can run at very low frequency, power consumption is not significant. A window reference can be created in order to eliminate the dither effect. Figure 1 Termination Adjustment Servo Loop

2 Voltage Mode Driver Voltage-mode driver acts as a switch selectively connecting to a transmission line as shown in Figure 2(a). Since matching output impedance is required, the switch should have output impedance equal to the characteristic impedance of the transmission line. In high voltage swing application as shown in Figure 2(b), the size of the transistors, especially PMOS, must be made very large so that transistors can have an on resistance of about Z0. In small voltage swing application, both transistors can be NMOS with the upper transistor connecting to a reference voltage as shown in Figure 2(c). The use of PMOS can be avoided. Figure 3 shows the differential voltage-mode driver schematics. Figure 2 Voltage Mode Driver Figure 3 Differential Voltage-Mode Driver (a) Low Swing (b) High Swing Low-Swing Voltage-Mode Driver Impedance Control Low-swing voltage-mode driver impedance control can be implemented as shown in Figure 4. Vr Regulator produces a regulated supply voltage for the pre-driver. The regulated Vr is produced in a feedback loop, based on the impedance matching between M1+R/2+M2 and R. The output swing of the pre-driver is set by Vr, which also controls the voltage-mode driver s output impedance. The voltage-mode driver s output swing is regulated by Vs Regulator. The sizes of M1 and M2 are the duplicates of the voltage-mode driver transistors. This design also inherently cancels resistance process variation.

3 Current-Mode Driver Figure 4 Low-Swing Voltage-Mode Driver [3] Current-mode drivers are used in most high performance serial links and use Norton-equivalent parallel termination. Figure 5 shows the push-pull current-mode driver which is used in lowvoltage differential signaling (LVDS). Since the current commutes between the differential input pair, low di/dt noise can be achieved. Duel current sources could be a problem in low-voltage technology application. Figure 5 Push-Pull Current-Mode Driver Figure 6 shows the current-mode logic (CML) driver. It requires lower supply voltage for operation compared to LVDS. CML structure is usually preferred in the newer technologies designs.

4 Output Driver Rise-Time Figure 6 Current-Mode Logic (CML) Driver The rise time of an output driver must be carefully chosen to avoid excessive ISI. A very fast edge rate may result in reflections from any impedance discontinuities. Unlike TDR s spatial resolution requirement, in output driver design, being very sensitive to small impedance discontinuities is not desired. On the other hand, a long rise time will reduce timing budget, which could cause ISI. Figure 7 shows a method of rise-time control used in current-mode driver. The driver is divided into four current-mode drivers, each with one-quarter of the peak current. The delay elements are used so that the drivers can be turned on sequentially. In order to avoid the stair-step output wave form, the individual current drivers are designed to turn on with a linear ramp. Figure 7 Rise-Time Control with a Segmented Current-Mode Driver [Dally]

5 Pre-Lab 1. CMOS Technology Characterization. In order to estimate what level of performance is achievable with a given process technology, it is useful to run some initial characterization simulations. For both NMOS and PMOS transistors with dimensions W=1μm and L=Lmin, plot the transition frequency (f T ) versus V GS and also versus I DS 4 plots total (2 per transistor). Use the test circuits in a. Figure 8. The easiest way to do this is to run a DC sweep with VGS varying from 0 to VDD and plot the following equation. g m f T = (1) 2πC gg Where Cgg is the total gate capacitance. Comment on the results. For the ft plot versus VGS, use a linear scale for both axes. For the ft plot versus IDS, use a log scale for the x-axis (current) and a linear scale for the y-axis (frequency). Discuss how this characterization data impacts the design of high-speed circuits. Figure 8 (a) NMOS ft Test Circuit (b) PMOS ft Test Circuit

6 b. Find the FO4 inverter delay. The bit-rate of transmitters is often limited by the bandwidth of clock buffering. For minimum propagation delay to drive a given capacitive load, a fan-out of four inverter buffer chain (FO4 inverter delay) is often used [5]. Refer to the instructions on how to use the default 90nm CMOS technology models for more details on how to setup the test circuit. For the inverters use minimum channel length and an NMOS unit finger width of 1μm. Size the PMOS/NMOS ratio of the inverter for equal rise and fall delays, measured from 50% of the input to 50% of the output. However, don t exceed a PMOS/NMOS ratio of 3, i.e., if the simulations show that a ratio greater than 3 is required for equal delay then just use 3 and accept the slight delay difference. i. Specify the process technology that you use. ii. Sweep the supply voltage (VDD) from 0.7V to 1.2V with 50mV step size in order to include FO4 delay [ps] vs. VDD [V]. iii. For the design of half-rate TX architecture, find optimal VDD for maximizing power efficiency at 5Gb/s data rate. Figure 9 FO4 Delay Test Circuit

7 Questions 1. Transmitters can operate in voltage-mode or current-mode with single-ended termination or differential termination as shown in Figure 10. Please calculate the power consumption for (a) current-mode with single-ended, (b) current-mode with differential, (c) voltagemode with single-ended, and (d) voltage-mode with differential termination, assuming Vd is the output swing and termination is 50Ω. Figure 10 Current Mode and Voltage Mode Transmitters 2. A multiplexer (MUX) is often used to serialize parallel low speed data into one single stream of high speed data. It can be implemented before the transmitter output driver stage. Design a 4:1 MUX that serializes 4 parallel 2.5Gb/s data into a 10Gb/s bit-stream. Figure 11 is an example of 2:1 MUX with re-timer (please refer to [4] as a reference). a. Use behavioral models for D-flip flops and latches. The AB select can be implemented using a transmission gate 2:1 MUX. Design the AB selector in transistor level. b. Verify the MUX operation and show your schematic and simulation results. Figure 11 2:1 Data MUX [4]

8 3. 10Gb/s Low-Swing Driver and Termination Design. a. Design both a differential current-mode CML driver and a differential low-swing voltage-mode driver to support an output swing of 300mVppd. For the Low-Swing Voltage-Mode Driver, please refer to [2] [3]. i. For the CML driver, the output tail current source should be implemented at the transistor level, but you may use a current mirror that has an ideal current source to produce the bias for the output stage tail current source. ii. For the voltage-mode driver, design the regulator and driver circuit. If op-amps are needed, feel free to use behavioral op-amp models. b. Include one pre-driver stage (in transistor level) before the driver output stage. This may be a simple inverter pre-driver or something more complex if you want. c. The driver should be terminated on-chip both at the transmitter and the receiver as shown in Figure 12. The termination should be designed to handle a temperature variation from 0 to 100 C OR a variation of ±30% from the nominal 27 C value if the temperature variation simulation doesn t work. Passive termination may be used, however a realistic model including parasitic capacitance must be used, i.e., from a design kit or taken from the table in lecture 5. Choose whichever termination scheme you think is most appropriate (AC vs DC-coupled, single-ended vs differential) and briefly explain your choice. d. Since the emphasis of this problem is the driver design, in your simulations use a simple channel consisting of TX output cap = RX input cap = 100fF and an ideal 50Ω, 1ns transmission line. e. Turn-in the following for your design i. Schematics with details of transistor sizing. ii. A 10Gb/s eye diagram at the RX. Use a pseudo-random input sequence of 2 7-1 or higher to produce the eye diagram. iii. Plot the return loss versus frequency looking back into the transmitter at 0, 27, and 100 C. For this, program the termination to yield the best performance at each temperature. Note: if your temperature variation simulations don t work, then just turn in one plot at 27 C and data showing that your termination can tune ±30% 1. iv. Compare the power consumption of the two drivers. Break down the power into pre-driver and output stage power. Figure 12 Schematic of the Link Circuit 1 Note: ±15% is probably sufficient for only temperature variations. To handle process, voltage, and temperature variations, you would probably need to increase this range to ±30%.

9 References [1] Digital Systems Engineering, W. Dally and J. Poulton, Cambridge University Press, 1998. [2] K.-L. J. Wong, H. Hatamkhani, M. Mansuri, and C.-K. K. Yang, A 27-mW 3.6-Gb/s I/O transceiver, IEEE J. Solid-State Circuits, vol. 39, no. 4, pp. 602-612, Apr. 2004. [3] J. Poulton, R. Palmer, A. M. Fuller, T. Greer, J. Eyles, W. J. Dally, and M. Horowitz, A 14mV 6.25-Gb/s transceiver in 90nm CMOS, IEEE J. Solid-State Circuits, vol. 42, no. 12, pp. 2745-2757, Dec. 2007. [4] J. Cao, M. Green, A. Momtaz, K. Vakilian, D. Chung, K.-C. Jen, M. Caresosa, X. Wang, W.-G. Tan, Y. Cai, I. Fujimori, and A. Hairapetian, OC-192 transmitter and receiver in standard 0.18-μm CMOS, IEEE J. Solid-State Circuits, vol. 37, pp. 1768 1780, Dec. 2002. [5] Chih-Kong Ken Yang, Ph.D Dissertation, Stanford University, 1998