An Efficient VLSI Architecture of a Reconfigurable Pulse- Shaping FIR Interpolation Filter for Multi standard DUC

Similar documents
ISSN Vol.03,Issue.11, December-2015, Pages:

VLSI Implementation of Digital Down Converter (DDC)

Design of Area and Power Efficient FIR Filter Using Truncated Multiplier Technique

Area Efficient and Low Power Reconfiurable Fir Filter

IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS I: REGULAR PAPERS 1

DESIGN OF MULTIPLE CONSTANT MULTIPLICATION ALGORITHM FOR FIR FILTER

Design and Implementation of Truncated Multipliers for Precision Improvement and Its Application to a Filter Structure

SDR Applications using VLSI Design of Reconfigurable Devices

INTERNATIONAL JOURNAL OF ENGINEERING SCIENCES & RESEARCH TECHNOLOGY

S.Nagaraj 1, R.Mallikarjuna Reddy 2

Low Power Approach for Fir Filter Using Modified Booth Multiprecision Multiplier

Design and Implementation of Reconfigurable FIR Filter

An area optimized FIR Digital filter using DA Algorithm based on FPGA

VLSI DESIGN OF RECONFIGURABLE FILTER FOR HIGH SPEED APPLICATION

AN EFFICIENT IMPLEMENTATION OF PULSE SHAPING FIR FILTER FOR MULTISTANDARD DDC

I. Introduction. Reddy, Telangana. Ranga Reddy, Telangana. 3 Professor, HOD, Dept of ECE, Sphoorthy Engineering College, Nadergul, Saroor Nagar, Ranga

Design and Implementation of High Speed Carry Select Adder

High Speed and Cost Effective Root Raised Cosine Filter using Distributed Arithmetic Algorithm

An Efficient Reconfigurable Fir Filter based on Twin Precision Multiplier and Low Power Adder

REALIAZATION OF LOW POWER VLSI ARCHITECTURE FOR RECONFIGURABLE FIR FILTER USING DYNAMIC SWITCHING ACITIVITY OF MULTIPLIERS

Design and Performance Analysis of a Reconfigurable Fir Filter

Modified Booth Multiplier Based Low-Cost FIR Filter Design Shelja Jose, Shereena Mytheen

Tirupur, Tamilnadu, India 1 2

Design and Implementation of High Speed Carry Select Adder Korrapatti Mohammed Ghouse 1 K.Bala. 2

Channelization and Frequency Tuning using FPGA for UMTS Baseband Application

Low Power FIR Filter Design Based on Bitonic Sorting of an Hardware Optimized Multiplier S. KAVITHA POORNIMA 1, D.RAHUL.M.S 2

DESIGN & IMPLEMENTATION OF FIXED WIDTH MODIFIED BOOTH MULTIPLIER

A Fixed-Width Modified Baugh-Wooley Multiplier Using Verilog

Optimized FIR filter design using Truncated Multiplier Technique

Design and Implementation of Digit Serial Fir Filter

VLSI IMPLEMENTATION OF MODIFIED DISTRIBUTED ARITHMETIC BASED LOW POWER AND HIGH PERFORMANCE DIGITAL FIR FILTER Dr. S.Satheeskumaran 1 K.

Hardware Efficient Reconfigurable FIR Filter

Design and Implementation of Complex Multiplier Using Compressors

FPGA Implementation of Desensitized Half Band Filters

AREA EFFICIENT DISTRIBUTED ARITHMETIC DISCRETE COSINE TRANSFORM USING MODIFIED WALLACE TREE MULTIPLIER

Design of an optimized multiplier based on approximation logic

Implementation of Parallel Multiplier-Accumulator using Radix- 2 Modified Booth Algorithm and SPST

A VLSI Implementation of Fast Addition Using an Efficient CSLAs Architecture

Performance Analysis of an Efficient Reconfigurable Multiplier for Multirate Systems

An Area Efficient FFT Implementation for OFDM

International Journal of Computer Science Trends and Technology (IJCST) Volume 2 Issue 5, Sep-Oct 2014

FPGA Implementation of Digital Modulation Techniques BPSK and QPSK using HDL Verilog

DESIGN AND IMPLEMENTATION OF AREA EFFICIENT, LOW-POWER AND HIGH SPEED 128-BIT REGULAR SQUARE ROOT CARRY SELECT ADDER

Area Power and Delay Efficient Carry Select Adder (CSLA) Using Bit Excess Technique

Optimized area-delay and power efficient carry select adder

Implementation of 256-bit High Speed and Area Efficient Carry Select Adder

A VLSI Implementation of Fast Addition Using an Efficient CSLAs Architecture

FPGA Implementation of Area-Delay and Power Efficient Carry Select Adder

An Optimized Design for Parallel MAC based on Radix-4 MBA

INTERNATIONAL JOURNAL OF PURE AND APPLIED RESEARCH IN ENGINEERING AND TECHNOLOGY

IMPLEMENTATION OF MULTIRATE SAMPLING ON FPGA WITH LOW COMPLEXITY FIR FILTERS

Design and Implementation of 128-bit SQRT-CSLA using Area-delaypower efficient CSLA

EXPERIMENTS ON DESIGNING LOW POWER DECIMATION FILTER FOR MULTISTANDARD RECEIVER ON HETEROGENEOUS TARGETS

Design and Implementation of Parallel Micro-programmed FIR Filter Using Efficient Multipliers on FPGA

A Distributed Arithmetic (DA) Based Digital FIR Filter Realization

IN SEVERAL wireless hand-held systems, the finite-impulse

International Journal of Modern Trends in Engineering and Research

DESIGN OF HIGH PERFORMANCE MODIFIED RADIX8 BOOTH MULTIPLIER

An Design of Radix-4 Modified Booth Encoded Multiplier and Optimised Carry Select Adder Design for Efficient Area and Delay

High performance Radix-16 Booth Partial Product Generator for 64-bit Binary Multipliers

AN EFFICIENT MULTI RESOLUTION FILTER BANK BASED ON DA BASED MULTIPLICATION

A Novel Approach to 32-Bit Approximate Adder

A High Speed Wallace Tree Multiplier Using Modified Booth Algorithm for Fast Arithmetic Circuits

An Optimized Design of High-Speed and Energy- Efficient Carry Skip Adder with Variable Latency Extension

Data Word Length Reduction for Low-Power DSP Software

Innovative Approach Architecture Designed For Realizing Fixed Point Least Mean Square Adaptive Filter with Less Adaptation Delay

DESIGN OF FIR FILTER ARCHITECTURE USING VARIOUS EFFICIENT MULTIPLIERS Indumathi M #1, Vijaya Bala V #2

Design of a Power Optimal Reversible FIR Filter ASIC Speech Signal Processing

DESIGN OF AREA EFFICIENT TRUNCATED MULTIPLIER FOR DIGITAL SIGNAL PROCESSING APPLICATIONS

Novel Block-Formulation and Area-Delay-Efficient Reconfigurable Interpolation Filter Architecture for Multi-Standard SDR Applications

Modified Booth Encoding Multiplier for both Signed and Unsigned Radix Based Multi-Modulus Multiplier

32-Bit CMOS Comparator Using a Zero Detector

A Novel High-Speed, Higher-Order 128 bit Adders for Digital Signal Processing Applications Using Advanced EDA Tools

Design and Implementation Radix-8 High Performance Multiplier Using High Speed Compressors

DESIGN AND IMPLEMENTATION OF 64- BIT CARRY SELECT ADDER IN FPGA

LOW POWER AND AREA EFFICIENT PARALLEL FIR DIGITAL FILTER STRUCTURE USING MODIFIED SQRT CARRY SELECT ADDER

International Journal of Computer Engineering and Applications, Volume XI, Issue XI, Nov. 17, ISSN

NOWADAYS, many Digital Signal Processing (DSP) applications,

Area and Delay Efficient Carry Select Adder using Carry Prediction Approach

Low power and Area Efficient MDC based FFT for Twin Data Streams

A Survey on Power Reduction Techniques in FIR Filter

Area-Delay Efficient Binary Adders in QCA

Comparison of Different Techniques to Design an Efficient FIR Digital Filter

Single Chip FPGA Based Realization of Arbitrary Waveform Generator using Rademacher and Walsh Functions

SQRT CSLA with Less Delay and Reduced Area Using FPGA

DESIGN OF LOW POWER / HIGH SPEED MULTIPLIER USING SPURIOUS POWER SUPPRESSION TECHNIQUE (SPST)

Implementation of High Speed Area Efficient Fixed Width Multiplier

Efficient Implementation on Carry Select Adder Using Sum and Carry Generation Unit

2 Assistant Professor, Dept of ECE, Universal College of Engineering & Technology, AP, India,

FINITE-impulse response (FIR) filters play a crucial role

Methods for Reducing the Activity Switching Factor

High Speed Binary Counters Based on Wallace Tree Multiplier in VHDL

SIGNED PIPELINED MULTIPLIER USING HIGH SPEED COMPRESSORS

Analysis Parameter of Discrete Hartley Transform using Kogge-stone Adder

Multiplierless sigma-delta modulation beam forming for ultrasound nondestructive testing

VLSI Implementation of Reconfigurable Low Power Fir Filter Architecture

Globally Asynchronous Locally Synchronous (GALS) Microprogrammed Parallel FIR Filter

Design of Multiplier Less 32 Tap FIR Filter using VHDL

An Efficient Implementation of Downsampler and Upsampler Application to Multirate Filters

WITH THE goal of simultaneously achieving high

Transcription:

An Efficient VLSI Architecture of a Reconfigurable Pulse- Shaping FIR Interpolation Filter for Multi standard DUC MANOJKUMAR REDDY. NALI #8-185/1 NEW BALAJI COLONY M.R.PALLI TIRUPATHI, CHITTOOR(DIST), ANDHRAPRADESH, INDIA- 517502 ABSTRACT: Most of the area occupied in the design of FIR filter is the multiplier. The low power and area architecture of pulse shaping FIR filter for digital up converter was designed. In the existing system, the two bit binary common sub-expression based binary common sub-expression elimination algorithm and shift and add method was used to generate the partial products. In this paper, carry save adder is used instead of shift and add method and also the simple arithmetic adders of multiplexer unit is replaced by carry save adder. The number of additions and multiplications are reduced using this technique. The designed pulse shaping FIR filter is synthesized and simulated using Xilinx ISE 14.3. KEYWORDS: Digital up converter (DUC), finiteimpulse response (FIR) interpolation filter, reconfigurable hardware architecture, software defined radio (SDR) system. I. INTRODUCTION Software Defined Radio (SDR) technology is significantly used in wireless communication and it refers to the class of reconfigurable radios in which the physical layer behavior can be flexible through reconfiguration. In the SDR system, FIR filters are mostly used in Digital Up Converter and Digital Down Converter. Digital Up Converter (DUC) is widely used in communication systems for converting the signal sample rate. It is needed when the signal is transmitted from baseband signal to Intermediate band. The input signal which is given to the DUC is filtered and transformed into higher sampling rate and then the signal is modulated with carrier signal. The various sections of Digital Up Converter (DUC) have been optimized individually and then compound in concert. The digital signal processing application using variable sampling rates can improve the flexibility of a software defined radio. It reduces the need for expensive anti-aliasing analog filters and enables processing of different types of signals with different sampling rates. It allows partitioning of the high-speed processing into parallel multiple lower speed processing tasks which can lead to a significant saving in computational power and cost. THE RECONFIGURABLE ROOT-RAISED- COSINE FIR FILTER AND ITS PROPOSED METHOD FOR SOLUTION A. Issues in Designing the Reconfigurable RRC FIR Filter for Multi standard DUC As a design example of multi standard DUC, we have considered three standards, namely universal mobile telecommunication system, wideband code division multiple access, and digital video broadcasting. These three standards have adopted root-raisedcosine (RRC) filter as the pulse shaping filter for its ability to decrease the bit error rate by disallowing timing jitter at the sampling instant. Efficient hardware implementation of a reconfigurable RRC FIR interpolation filter with the specification mentioned. 1) For a filter of N tap with interpolation factor of R, N/R equivalent multipliers (to implement the convolution operation between the inputs and the filter coefficients), and structural adders (to perform the final addition operation for generating the output) are required. Implementation of three different filter lengths of L, M, and N with three different interpolation factor P, Q, R would require L/P + M/Q + N/R number of equivalent multipliers and structural adders. Now, if the filter parameters (roll-off factors for RRC filter) are different, the total number of multipliers and structural adders will linearly increase with the number of parameters considered for designing the filter. For a constant propagation delay, the problem of area and power consumptions increases as the number of multipliers and structural adders increases for implementing the variable length higher order filter in a single architecture. 2) Amongst several techniques proposed earlier, the BCSE method is the recently proposed popular method for implementing an efficient constant multiplier. In BCSE algorithm, a coefficient of m-bit word length can form 2m (m + 1) BCS amongst themselves. Proper choice of the length of the BCS is 2041

an important factor to avoid the inefficient utilization of hardware. 3) In BCSE technique, LD is the critical path that mainly depends on the number of addition operations in a chain. Propagation delay of the filter is measured by the computation time of (LD + 1) addition operations. Proper use of BCS to decrease the LD that maximizes the operating frequency of the filter is a challenge. 4) CMs are performed through shift and add operations. For example, if X is the number of adders required for a single CM operation, implementation of L-, M-, and N-tap filters will require {[L/2+ M/2+ N/2] X} number of adders. By reducing the number of adders by Y say, for a single CM, one can save {[L/2 + M/2 + N/2] Y} number of adders to implement the desired reconfigurable FIR interpolation filter. Therefore, the task of maximizing the value of Y can pose a challenge to the designer. B. Proposed Method for Solution The technique proposed in this brief to solve the problem addressed above consists of the following steps. 1) In the first coding pass (FCP) block, the coefficient sets of the two RRC filters of the same length differing only by the filter parameters are multiplexed through one 2:1 multiplexer, where one control parameter (FLT_SEL) selects the desired filter depending on the roll-off factor. This multiplexing technique helps in decreasing the requirement of the multiplier by 50% as the total number of coefficients is 111 instead of the initial requirement of 222. 2) In the second coding pass (SCP), the coefficients obtained from the FCP block are passed through another set of multiplexers, where one control parameter (INTP_SEL) selects the desired filter depending on the interpolation factor. This technique reduces the total number of filter coefficients that will be processed further from the earlier requirement of 111 49 after the FCP. Combination of FCP and SCP steps reduces the requirement of MPIS from 42 to 7 and APIS from 36 to 6, which facilitates 83.3% improvement for this design. According to the proposed method, considering more filters of different specifications will cause more reduction in the APIS and MPIS. 3) Instead of 3-bit BCSE presented, we have proposed 2-bit BCS-based BCSE technique, where the LD can be defined as where the term log2 2 is due to the 2-bit BCS and the term log216/2 is due to the fact that the world-length for the coefficients has been considered to be 16 bits. Hence, for the 2-bit BCS-based FIR filter, its propagation delay can be defined as where tadd is the delay of each adder used in the constant multiplier, t4:1mux is the delay for the 4:1 multiplexer, and tacc is the delay for the final adder in the delay chain of FIR filter. From (1) and (2), it can be clearly seen that use of 2-bit BCS leads to a good amount of saving in the propagation delay compared with the 3-bit BCS-based constant multiplier design. 4) In any FIR filter, the multiplication operation between the inputs and the coefficients, for which the word length of the coefficient is 16 bits can be written as Considering 2-bit BCS in the proposed architecture i.e., (3) can be rewritten as In the proposed architecture, the shift add unit has been grouped in eight preshifted values of 2N + 1 bit, where N = 8, 7, 6, 5, 4, 3, 2, 1 to implement (4). This will help in reducing the multiplexer and the adder width. As this shifting is done prior to the addition operation, the maximum error (due to truncation) has been precalculated and added in the final addition operation of the constant multiplier block. This technique helps in reducing the hardware, as explained in the previous section. 2042

II. PROPOSED METHODOLOGY A. Data Generator When the clock signal is applied to the data generator, the data has been mechanically furnished by sampling the input signal. The input data is sampled based on the selected value of the selection lines of multiplexer. The fig.1 shows the flow diagram representation the RRC filter. generator. The hardware usage has been reduced by two-phase optimization technique. Each of the blocks in coefficient generator are structured using multiplexer. The operation performed by the first coding pass and the second coding pass are similar. i) First Coding Pass It takes the input from the output produced by the data generator. The inputs are selected from the data generator are processed and the outputs are produced based on the selected values of the selection lines of multiplexer. Fig.3 Architecture for implementation of FCP block. ii) Second Coding Pass Fig.1 Flow diagram of RRC filter design The operation of the second coding pass is similar to the first coding pass. It takes the input from the produced output by second coding pass. It produced the output based on the inputs selection which are processing then based on the selected values of the selection lines of the multiplexer, the output has been produced. Fig.4 Architecture for implementation of SCP block. iii) Partial Product Generator (PPG) Unit: Fig.2 Flow diagram of Coefficient Generator B. Coefficient Generator Coefficient generator comprises of first coding pass, second coding pass, Partial Product Generator, multiplexer unit and addition. The multiplication operation between the inputs and the filter coefficients is performed by coefficient Shift-and-add method is used to generate the partial product during the multiplication operation between the input data (Xin) and the filter coefficients. In BCSE technique, realizations of the common subexpression using shift-and-add method eliminates the common term present in a coefficient. In the proposed architecture, 2-bit BCSs ranging from 00 to 11 have been considered. Within four of these BCSs, an adder is required only for the pattern 11. This facilitates reduction in hardware and improvement in speed while performing the multiplication operation. 2043

The shift-andadd block used in this brief is shown in Fig. 5. Fig.5 Architecture for implementation of PPG block. iv) Multiplexer Unit The output of carry save adder is shifted and it is given as the inputs to the multiplexer. It will choose the required data from the carry save adder based n the coded coefficients. The selected inputs are processed and the outputs that are produced from the mux unit are again summed up with carry save adder. v) Addition For addition unit, the inputs are taken as the input from the output of multiplexer unit which gets added using carry save adder and the produced outputs are varied depending on the sign magnitude and then it is given to multiplexer and produces the output. Fig.6 Block diagram of multiplexer and final addition unit. Fig.7 Hardware architecture of CS block. D. Accumulation The inputs for accumulation were taken from the output of the data generator, coefficient generator and coefficient selector which are then added and the filter output will be produced. III. RESULTS AND DISCUSSION The low power and area efficient architecture of pulse shaping FIR filter for digital up converter has been designed and simulated using Xilinx. The parameters considered for the designed architecture are area and speed. The serial input data is passed to the data generator to sample the input which are then processed and produced the output based on the selected values of the selection lines of the multiplexer. In coefficient generator, the sections are first coding pass, second coding pass, partial product generator, multiplexer unit and addition unit are processing the inputs and produced the output based on the coded coefficients. For coefficient generator, the inputs are taken from the output of the data generator. Then coefficient selector takes the input from the output of the coefficient generator and it will steer the proper data based on selection lines. Finally, the final accumulation block produces the filter output by summing up all the outputs. C. Coefficient Selector The inputs are taken from the output of the coefficient generator which selects the required data for processing. Then the selected inputs are then multiplied using the operation of AND then based on the multiplexer s selection line, outputs will be produced. 2044

Block diagram Design summary Device Utilization Summary (estimated values) Logic Utilization [-] Used Available Utilization Number of Slice Registers 26 126800 0% RTL schematic Number of Slice LUTs Number of fully used LUT-FF pairs Number of bonded IOBs Number of BUFG/BUFGCTRLs 5618 63400 8% 20 5624 0% 74 210 35% 1 32 3% Output waveform IV. CONCLUSION In this paper, reconfigurable pulse shaping FIR filter was designed for multistandard digital up converter for Software Defined Radio system. The complexity 2045

of area is caused by the multipliers. The modification to the architecture is included by which carry save adder was used to reduce the power and area consumption. So that the speed of the operation gets increased and also area of the architecture gets minimized. While using this technique, the additions and multiplications were reduced for generating the partial products. REFERENCES [1] J. Mitola, The software radio architecture, IEEE Commun. Mag., vol. 33, no. 5, pp. 26 38, May 1995. [2] SDR Forum [Online]. Available: http://www.wirelessinnovation.org/ what_is_sdr [3] S. Im, W. Lee, C. Kim, Y. Shin, S. H. Lee, and J. Chung, Implementation of SDR-eared digital IF channelized dechannelizer for multiple CDMA signals, IEICE Trans. Commun., vol. E83-B, no. 6, pp. 1282 1289, Jun. 2000. [4] S.-F. Lin, S.-C. Huang, F.-S. Yang, C.-W. Ku, and L.-G. Chen, Power-efficient FIR filter architecture design for wireless embedded system, IEEE Trans. Circuits Syst. II, Exp. Briefs, vol. 51, no. 1, pp. 21 25, Jan. 2004. [5] Y. Son, K. Ryoo, and Y. Kim, 1:4 interpolation FIR filter, IEEE Electron. Lett., vol. 40, no. 25, pp. 1570 1572, Dec. 2004. [6] P. K. Meher, S. Chandrasekaran, and A. Amira, FPGA realization of FIR filters by efficient and flexible systolization using distributed arithmetic, IEEE Trans. Signal Process., vol. 56, no. 7, pp. 3009 3017, Jul. 2008. [7] J. Xie, J. He, and G. Tan, FPGA realization of FIR filters for high-speed and medium-speed by using modified distributed arithmetic architectures, Microelectron. J., vol. 41, no. 6, pp. 365 371, Jun. 2010. [8] K.-H. Chen and T.-D. Chieueh, A low-power digit-based reconfigurable FIR filter, IEEE Trans. Circuits Syst. II, Exp. Briefs, vol. 53, no. 8, pp. 617 621, Aug. 2006. [9] O. Gustafsson, Lower bounds for constant multiplication problems, IEEE Trans. Circuits Syst. II, Exp. Briefs, vol. 54, no. 11, pp. 974 978, Nov. 2007. [10] D. Shi and Y. J. Yu, Design of linear phase FIR filters with high probability of achieving minimum number of adders, IEEE Trans. Circuits Syst. I, Reg. Papers, vol. 58, no. 1, pp. 126 136, Jan. 2011. [11] Y. J. Yu and Y. C. Lim, Optimization of linear phase FIR filters in dynamically expanding subexpressions space, Circuits, Syst., Signal Process., vol. 29. no. 1, pp. 65 80, 2010 [12] R. Mahesh and A. P. Vinod, A new common subexpression eliminationalgorithm for realizing low-complexity higher order digital filters, IEEE Trans. Comput.-Aided Design Integr. Circuits Syst., vol. 27, no. 2,pp. 217 229, Feb. 2008. [13] R. Mahesh and A. P. Vinod, New reconfigurable architectures for implementing FIR filters with low complexity, IEEE Trans. Comput.-Aided Design Integr. Circuits Syst., vol. 29, no. 2, pp. 275 288, Feb. 2010. [14] T. Acharya and G. J. Miao, Square-root raised cosine symmetric filter for mobile communication, U.S. Patent 20 040 172 433, Sep. 2, 2004. [15] Xilinx Inc., San Jose, CA, USA. (2014). Xilinx Logicore Multiplier IP version v9.0, [Online]. Available: http://www.xilinx.com/support/ documentation/white_papers/wp277.pdf [16] J. Chandran, R. Kaluri, J. Singh, V. Owall, and R. Velijanovski, Xilinx Virtex II Pro implementation of a reconfigurable UMTS digital channel filter, in Proc. IEEE Workshop Electron. Des., Test and Appl., Jan. 2004, pp. 77 82. [17] S. F. Lin, S. C. Huang, F. S. Yang, C. W. Ku, and L. G. Chen, Power-efficient FIR filter architecture design for wireless embedded system, IEEE Trans. Circuits Syst. II, Exp. Briefs, vol. 51, no. 1, pp. 21 25, Jan. 2004. [18] F. Sheikh, M. Miller, B. Richards, D. Markovic, and B. Nikolic, A 1 190 MSample/s 8 64 tap energy-efficient reconfigurable FIR filter for multimode wireless communication, in Proc. IEEE Symp. VLSI Circuits, Jun. 2010, pp. 207 208. [19] S.-F. Hsiao, J.-H. Zhang Jian, and M.-C. Chen, Low-cost FIR filter designs based on faithfully rounded truncated multiple constant multiplication/accumulation, IEEE Trans. Circuits Syst. II, Exp. Briefs, vol. 60, no. 5, pp. 287 291, May 2013. [20] S.-J. Lee, J.-W. Choi, S. W. Kim, and J. Park, A reconfigurable FIR filter architecture to trade off filter performance for dynamic power consumption, IEEE Trans. Very Large Scale Integr. (VLSI) Syst., vol. 19, no. 12, pp. 2221 2228, Dec. 2011. AUTHOR PROFILE: Name of the author: Manojkumar Reddy Occupation : Student Qualification : M.Tech Specialization : Electronics and communication Engineering. College : KMM institute of technology and Science,Tirupathi, chittoor (dist.) Andhrapradesh, india-517502 2046