Through Glass Via (TGV) Technology for RF Applications

Similar documents
Glass Packaging for RF MEMS

A Low-cost Through Via Interconnection for ISM WLP

SHELLCASE-TYPE WAFER-LEVEL PACKAGING SOLUTIONS: RF CHARACTERIZATION AND MODELING

A Novel WL-Integrated Low-Insertion-Loss Filter with Suspended High-Q Spiral Inductor and Patterned Ground Shields

Silicon Interposers enable high performance capacitors

Modeling, Design, and Demonstration of 2.5D Glass Interposers for 16-Channel 28 Gbps Signaling Applications

Substrate-Integrated Waveguides in Glass Interposers with Through-Package-Vias

Advanced Wafer Level Packaging of RF-MEMS with RDL Inductor

Flexline - A Flexible Manufacturing Method for Wafer Level Packages (Extended Abstract)

High Performance Silicon-Based Inductors for RF Integrated Passive Devices

Wafer-scale 3D integration of silicon-on-insulator RF amplifiers

Innovative Electrical Thermal Co-design of Ultra-high Q TPV-based 3D Inductors. Glass Packages

High-Frequency Characterization of Through Package Vias Formed by Focused Electrical-Discharge in Thin Glass Interposers

Advanced High-Density Interconnection Technology

Signal Integrity Design of TSV-Based 3D IC

Optimization Design and Simulation for a Band- Pass-Filter with IPD Technology for RF Front-end Application

Advanced Embedded Packaging for Power Devices

Glass: Enabling Next-Generation, Higher Performance Solutions. Peter L. Bocko, Ph.D CTO Glass Technologies 5 September 2012

Laminate Based Fan-Out Embedded Die Technologies: The Other Option

Copyright 2008 Year IEEE. Reprinted from IEEE ECTC May 2008, Florida USA.. This material is posted here with permission of the IEEE.

Fraunhofer IZM - ASSID

Fabrication and application of a wireless inductance-capacitance coupling microsensor with electroplated high permeability material NiFe

EMERGING SUBSTRATE TECHNOLOGIES FOR PACKAGING

New Wave SiP solution for Power

3D TSV Micro Cu Column Chip-to-Substrate/Chip Assmbly/Packaging Technology

Manufacture and Performance of a Z-interconnect HDI Circuit Card Abstract Introduction

Expanding film and process for high efficiency 5 sides protection and FO-WLP fabrication

A Miniaturized Multi-Channel TR Module Design Based on Silicon Substrate

Signal Integrity Modeling and Measurement of TSV in 3D IC

3D including 3DIC and 3D Packaging (POP)

Min Tao, Ph. D, Ashok Prabhu, Akash Agrawal, Ilyas Mohammed, Ph. D, Bel Haba, Ph. D Oct , IWLPC

First Demonstration of Panel Glass Fan-out (GFO) Packages for High I/O Density and High Frequency Multi-Chip Integration

Ultra-thin Die Characterization for Stack-die Packaging

Through-Silicon-Via Inductor: Is it Real or Just A Fantasy?

The 3D Silicon Leader

Data Sheet _ R&D. Rev Date: 8/17

Wirelessly powered micro-tracer enabled by miniaturized antenna and microfluidic channel

Deliverable 3.1 Passive Components Fabrication

2.5D & 3D Package Signal Integrity A Paradigm Shift

SiP packaging technology of intelligent sensor module. Tony li

TSV MEOL (Mid-End-Of-Line) and its Assembly/Packaging Technology for 3D/2.5D Solutions

Lecture: Integration of silicon photonics with electronics. Prepared by Jean-Marc FEDELI CEA-LETI

Processes for Flexible Electronic Systems

Electromagnetic Bandgap Design for Power Distribution Network Noise Isolation in the Glass Interposer

Chapter 3 Fabrication

On-chip 3D air core micro-inductor for high-frequency applications using deformation of sacrificial polymer

High Rejection BPF for WiMAX Applications from Silicon Integrated Passive Device Technology

64 Channel Flip-Chip Mounted Selectively Oxidized GaAs VCSEL Array

Broadband analog phase shifter based on multi-stage all-pass networks

This is the accepted version of a paper presented at 2018 IEEE/MTT-S International Microwave Symposium - IMS, Philadelphia, PA, June 2018.

Encapsulated Wafer Level Chip Scale Package (ewlcsp ) for Cost Effective and Robust Solutions in FlexLine

Methodology for MMIC Layout Design

Electrical Characteristics Analysis and Comparison between Through Silicon Via(TSV) and Through Glass Via(TGV)

A new class of LC-resonator for micro-magnetic sensor application

Ultra-thin, highly flexible RF cables and interconnections

Flip chip Assembly with Sub-micron 3D Re-alignment via Solder Surface Tension

Packaging and Embedded Components

Introduction of IMS Technology for Advanced Solder Bumping on Wafers / Laminates

Low Thermal Resistance Flip-Chip Bonding of 850nm 2-D VCSEL Arrays Capable of 10 Gbit/s/ch Operation

Ultra-Thin, Highly Flexible Cables and Interconnections for Low and High Frequencies

MicroSiP TM DC/DC Converters Fully Integrated Power Solutions

B. Flip-Chip Technology

MASW P. SURMOUNT PIN Diode Switch Element with Thermal Terminal. Features. Description. Ordering Information 2.

An X band RF MEMS switch based on silicon-on-glass architecture

Diverse Lasers Support Key Microelectronic Packaging Tasks

DC-DC Power Conversion with CMOS Integrated Thin-Film Inductors. Noah Sturcken, PhD - Ferric, Inc. CEO

On-Chip Passive Devices Embedded in Wafer-Level Package

PROFILE CONTROL OF A BOROSILICATE-GLASS GROOVE FORMED BY DEEP REACTIVE ION ETCHING. Teruhisa Akashi and Yasuhiro Yoshimura

Chapter 2. Literature Review

2.5D Platform (Examples of products produced to date are shown here to demonstrate Amkor's production capabilities)

INF 5490 RF MEMS. LN12: RF MEMS inductors. Spring 2011, Oddvar Søråsen Department of informatics, UoO

MA4AGSW2. AlGaAs SP2T PIN Diode Switch. MA4AGSW2 Layout. Features. Description. Absolute Maximum Ratings TA = +25 C (Unless otherwise specified)

Fabricating 2.5D, 3D, 5.5D Devices

Application Bulletin 240

Compact Distributed Phase Shifters at X-Band Using BST

A Compact Wilkinson Power Divider with High Performance by GaAs-based Optimized IPD Process

Aspemyr, Lars; Jacobsson, Harald; Bao, Mingquan; Sjöland, Henrik; Ferndal, Mattias; Carchon, G

Tape Automated Bonding

ISSCC 2006 / SESSION 11 / RF BUILDING BLOCKS AND PLLS / 11.9

Atomic-layer deposition of ultrathin gate dielectrics and Si new functional devices

PH9 Reliability. Application Note # 51 - Rev. A. MWTC MARKETING March 1997

Innovations Push Package-on-Package Into New Markets. Flynn Carson. STATS ChipPAC Inc Kato Rd Fremont, CA 94538

On-Wafer Integration of Nitrides and Si Devices: Bringing the Power of Polarization to Si

!"#$"%&' ()#*+,-+.&/0(

Hardware Design Considerations for MKW41Z/31Z/21Z BLE and IEEE Device

Flexible Hybrid Electronics Fabricated with High-Performance COTS ICs using RTI CircuitFilm TM Technology

Zukunftstechnologie Dünnglasbasierte elektrooptische. Research Center of Microperipheric Technologies

Thin Film Resistor Integration into Flex-Boards

IMAGE SENSOR EVOLUTION AND ENABLING 3D TECHNOLOGIES

Electrical Test Vehicle for High Density Fan-Out WLP for Mobile Application. Institute of Microelectronics 22 April 2014

2016 IEEE 66th Electronic Components and Technology Conference

/14/$ IEEE 470

Ultra-Wide-Band (UWB) Band-Pass-Filter Using Integrated Passive Device (IPD) Technology for Wireless Applications. STATS ChipPAC D&C YongTaek Lee

New Approaches to Develop a Scalable 3D IC Assembly Method

A RECONFIGURABLE IMPEDANCE MATCHING NETWORK EMPLOYING RF-MEMS SWITCHES

High-efficiency, high-speed VCSELs with deep oxidation layers

Design and Layout of a X-Band MMIC Power Amplifier in a Phemt Technology

MICROELECTRONICS ASSSEMBLY TECHNOLOGIES. The QFN Platform as a Chip Packaging Foundation

Thinning of IC chips

MEMS for RF, Micro Optics and Scanning Probe Nanotechnology Applications

Transcription:

Through Glass Via (TGV) Technology for RF Applications C. H. Yun 1, S. Kuramochi 2, and A. B. Shorey 3 1 Qualcomm Technologies, Inc. 5775 Morehouse Dr., San Diego, California 92121, USA Ph: +1-858-651-5449, Email: cyun@qti.qualcomm.com 2 Dai Nippon Printing Co., Ltd. 250-1 Wakashiba, Kashiwa, Chiba 277-0871, Japan Ph: +81-4-7134-2108, Email: kuramochi-s2@mail.dnp.co.jp 3 Corning Incorporated 1 Riverfront Plaza, Corning, New York 14831, USA Ph: +1-607-377-7229, Email: shoreyab@corning.com Abstract Over the past several years there have been substantial advancements in through glass via (TGV) technology. There is an excellent opportunity to leverage TGV technology and the insulating properties of glass, to address next generation needs for RF components. Multi-bands multi-standards with carrier aggregation, WiFi/GPS coexistence, and LTE-U make RF front end more and more complicated. In order to address the best-fit filtering solutions to RF front end, high-performance inductors and capacitors are required. For inductors, drastic performance (size and Q) improvement have been demonstrated by technology evolutions from 2D planar inductors on glass to 3D solenoid using TGV, achieving inductor Q>80 (for 3nH @ 1GHz). On top of the TGV inductors, we have successfully integrated Cu MIM (metal-insulator-metal) capacitors by using 15um thick Cu plates, resulting in Q>560 (10pF @ 2GHz). Key words Glass, Through Glass Via (TGV), RF components, High-Q 3D inductor, High-Q Cu MIM I. INTRODUCTION In RF front end (anywhere between antenna and amplifiers), there are many passive circuits required to provide the best filtering solutions for the multi-band multi-standards. The filtering solution at the RF front end requires the lowest insertion loss with wide frequency range bands-grouping LC filters, combined with specific band selecting narrow band acoustic filters. Fig. 1 shows example filter topologies for lowpass filters composed of multiple inductors (L) and capacitors (C), depending on insertion loss and rejection requirements. The low pass filters have been used for power amplifier (PA) module, filtering out harmonics from the PA. More recently, with the commercialization of carrier aggregation, diplexer (low pass + high pass filters), triplexer (low pass + mid band pass + high pass filters), and multiplexers are becoming more important. The multiplexers are filtering groups of bands (B1, B2,, B40) using multiple lumped elements (L and C). This work will mainly focus on the recent achievements in design and technology demonstration for both high-q L and C components co-fabricated in a TGV platform. (d) Fig.1 LC-based low-pass filters composed of 1L+1C, 3L+1C, 1L+2C, (d) 2L+3C components. Glass has many properties that make it an ideal substrate for RF components such as: ultra-high resistivity and low electrical loss providing opportunities for designers to use glass in new ways for 1

advanced packaging applications [1]-[4]. As an illustration, Fig. 2 below shows an example of the insulating properties of glass that make it valuable in RF applications, particularly at high frequencies. Fig. 2 shows the microstrip lines included in a test die to evaluate insertion loss of glass and silicon. The plot in Fig. 2 shows the results (each curve represents the insertion loss for lines 0.9, 1.2, 1.8 and 2.1 mm long). The tan region shows results from glass substrates and pink region highlights loss from silicon substrates at frequencies up to 10 GHz. The loss is significantly lower in glass substrates than it is in silicon substrates. This low loss given by the insulating properties of glass offers the important ability to achieve high Q-factors in filter applications. The advantages given by Corning s fusion forming process for supplying substrates for electronics applications, has been previously reported [5], [6]. The fusion forming process, allows forming high quality substrates in large formats 0.5 meter or larger, which results in cost reduction by leveraging economies of scale. Furthermore, the glass can be formed in thickness as low at 0.1 mm. The requirements for low loss, small package size and low cost make glass an ideal solution as RF components become increasingly pervasive in mobile devices. II. TGV Passives Process A. TGV glass process Over the past several years, there have been significant advances in the ability to provide high quality vias in glass substrates of various formats at Corning, Incorporated. The process employed provides the opportunity to leverage both through and blind vias in both wafer and panel format. The glass substrates with holes have been shown to give strength on par with bare glass, and filled vias have been shown to have excellent mechanical and electrical reliability after thermal cycle tests [5]-[7]. The approximate current best practice capabilities are summarized in Table 1 below. These represent guidance for the current TGV process, but in many cases some capabilities can be extended. Table 1 State-of-the-art TGV specification Attribute Current Capability* Outer Diameter (OD) 25 100 um Minimum Pitch ~2x OD Type Through and Blind Wafer Size Up to 300 mm Panel Size Up to 515 x 515 mm Thickness (mm) 0.1 0.7 *Approximate Some specifications can be negotiated The work described here utilized glass with thickness of ~0.4 mm thick and through glass via (TGV) diameter of 80 um. A profile of a typical TGV profile is shown in Fig. 3. Fig. 3 Image of 80 um diameter TGV in 0.4 mm thick glass. Fig. 2 Microstrip line structure, Insertion loss for glass and silicon interposers. Insulation properties of glass have significant advantages in reducing insertion loss 2

B. TGV IPD (integrated passive devices) integration The process flow of TGV and IPD integration is shown in Fig. 4. First of all, TGVs of 80 m in diameter and 150-200 m in pitch were formed on a 400 m thick alkali-free glass wafer. 50nm Ti and 1000nm Cu layers were deposited as Cu seed materials. Then the TGV sidewall and front side and backside metal layers were formed with 15 m Cu thickness by conformal electroplating. By this time, functional 3D TGV inductors were formed. Also, parts of front side Cu serve as a capacitor bottom plate. Silicon Nitride film was deposited on the front side metal as capacitor dielectric using PECVD. After 2 m thick Cu layer formed on Silicon Nitride as a capacitor top electrode, the Silicon Nitride film outside the capacitor area was etched by RIE to create an MIM capacitor. Thick dielectric polymer layer was laminated on the wafer as RDL passivation film using photosensitive polyimide followed by low temperature cure of 210 degree Celsius. Redistribution lines were patterned with positive photo resist. Cu RDL line of 15 m thickness was deposited by Cu-electroplating followed by photo resist and Cu seed layer removal. The TGV IPD wafers were then ball-attached on the solder mask openings and diced individually using laser dicing methods. Fig. 6 shows the MIM capacitor formed on the same TGV glass substrate. With the 15um bottom Cu plate and 17um top Cu plate, also record high-q MIM capacitor was achieved: Q=560 at 2GHz for 10pF capacitor. (d) (e) III. Results Fig. 5 shows 3D rendering of inductor structure, inductor top-down view, and cross-sectional SEM of a fabricated 3D inductor. The SEM (scanning electron microscope) image shows uniform conformal plating with 15 m thick Cu. The conformal plating method has great advantage of process time of plating. The key requirement for conformal plating method is coverage of seed metal layer in the vias. The sputtering angle was optimized for the 400 m deep TGV metallization. Conformal Cu metallization of TGV in a 200 mm wafer level was successfully achieved by electroplating. The simulated inductance and Q are 3.0nH and 83 at 1GHz, respectively, for the inductor in Fig. 5. The peak Q was observed to be 200 at 4GHz. This is one of the highest inductor Q reported. (f) (g) Fig. 4 TGV IPD inductor-first, MIM-last process flow. Starting TGV glass wafer, inductor formation by patterning and plating front side, backside, and TGV sidewall simultaneously. MIM dielectric formation by silicon nitride deposition. (d) MIM top plate by Cu plating then silicon nitride etching outside MIM area, (e) front side and backside interlayer 3

dielectric pattern, (f) final Cu interconnects and pads, (g) solder resist formation around the I/O pads. Fig. 6 Cross-sectional SEM of TGV with conformal Cu plating on the TGV sidewalls and the top & bottom sides of the glass to form a 3D TGV inductor Fig. 7 shows a complete die of RF multi-band filters in a single chip with WLCSP solder balls attached and completely singulated using laser dicing. The TGV IPD parts were mounted on evaluation boards and further tested for both electrical functionality and thermal and mechanical reliability, showing no performance degradation or any boardlevel reliability issues. Fig. 5 3D TGV inductor formation. 3D rendering, top-down photograph, cross-sectional SEM of TGV with conformal Cu plating on the TGV sidewalls and the top & bottom sides of the glass to form a 3D TGV inductor 15um Cu 0.2um Si-N 15um Cu 2um Cu Fig. 7 SEM bird s eye view of completed LC networks for RF front end filters. IV. Conclusion Glass has a number of attributes that make it an excellent substrate for RF applications. Since glass is an insulating material, its electrical properties provide a low loss substrate for high-q inductors. The ability to generate well-formed through vias has been demonstrated, and has been shown to be reliable in electrical and thermal testing. Furthermore, manufacturing processes to form glass in thin large sheets of high quality is mature and gives opportunity to reduce cost through economies of scale. These characteristics generate tremendous incentive for using glass as a TGV substrate for RF applications. Using the TGV technology, high-performance integrated LC networks were co-fabricated with unprecedented electrical performance and reliable process integrity. 4

References [1] Kim, J, Shenoy, R., Lai, K-Y, Kim, J., High-Q 3D RF Solenoid Inductors in Glass, Radio Frequency Integrated Circuits Symposium, IEEE 2014. [2] Shenoy, R., Lai, K-Y, and Gusev, E., 2.5D Advanced System-in- Package: Processes, Materials and Integration Aspects, ECS Transactions, 61 (3) 183-190 (2014). [3] Lai, WC et al., 300 mm Size Ultra-thin Glass Interposer Technology and High-Q Embedded Helical Inductor (EHI) for Mobile Application, International Electronic Devices Meeting (IEDM),(2013). [4] Qin, X, Kumbhat, N., Sundaram, V., and Tummala, R., Highly- Reliable Silicon and Glass Interposers-to-Printed Wiring Board SMT Interconnctions: Modeling, Design, Fabrication and Reliability, Electronics Components and Testing (ECTC), IEEE 62nd (2012). [5] Shorey, A; Pollard, S.; Streltsov, A.; Piech, G.; Wagner, R., Electronic Components and Technology Conference (ECTC), IEEE 62 nd (2012). [6] Keech, J.; Piech, G.; Pollard, S.; Shorey, A., Development and Demonstration of 3D-IC Glass Interposers, Electronic Components and Technology Conference (ECTC), IEEE 63rd (2013). [7] Shorey, A et al, Advancements in Fabrication of Glass Interposers, Electronic Components and Technology Conference (ECTC), IEEE 64 th (2014). 5