Copyright 1997 by the Society of Photo-Optical Instrumentation Engineers.

Similar documents
Resolution. T h e L i t h o g r a p h y E x p e r t (Winter 1997) Chris A. Mack, FINLE Technologies, Austin, Texas

Reducing Proximity Effects in Optical Lithography

Depth of Focus, part 2

Optical Proximity Effects, part 2

Using the Normalized Image Log-Slope, part 2

Copyright 2002 by the Society of Photo-Optical Instrumentation Engineers.

Tutor43.doc; Version 8/15/03 T h e L i t h o g r a p h y E x p e r t (November 2003)

Image Manipulation. Chris A. Mack Department of Defense Fort Meade, MD ABSTRACT

optical and photoresist effects

Copyright 2000 by the Society of Photo-Optical Instrumentation Engineers.

What s So Hard About Lithography?

Line End Shortening. T h e L i t h o g r a p h y E x p e r t (Spring 2000) Chris A. Mack, FINLE Technologies, Austin, Texas

Optical Proximity Effects

Optical Proximity Effects, part 3

Copyright 1998 by the Society of Photo-Optical Instrumentation Engineers.

Copyright 2004 by the Society of Photo-Optical Instrumentation Engineers.

Key Photolithographic Outputs

Horizontal-Vertical (H-V) Bias

Copyright 2000, Society of Photo-Optical Instrumentation Engineers This paper was published in Optical Microlithography XIII, Volume 4000 and is made

Lithographic Process Evaluation by CD-SEM

Optolith 2D Lithography Simulator

Shot noise and process window study for printing small contacts using EUVL. Sang Hun Lee John Bjorkohlm Robert Bristol

Microlens formation using heavily dyed photoresist in a single step

Lithographic Performance of a New Generation i-line Optical System: A Comparative Analysis. Abstract

Critical Dimension Enhancement of DUV Photolithography on the ASML 5500/300. Francesca Calderon Miramonte High School August 13th, 2015

Copyright 2000 by the Society of Photo-Optical Instrumentation Engineers.

Mutually Optimizing Resolution Enhancement Techniques: Illumination, APSM, Assist Feature OPC, and Gray Bars

MICROBUMP LITHOGRAPHY FOR 3D STACKING APPLICATIONS

Overlay accuracy a metal layer study

More on the Mask Error Enhancement Factor

DOE Project: Resist Characterization

Process Optimization

The Formation of an Aerial Image, part 3

OPC Rectification of Random Space Patterns in 193nm Lithography

Analysis of Focus Errors in Lithography using Phase-Shift Monitors

Line End Shortening, part 2

Effect of Reticle CD Uniformity on Wafer CD Uniformity in the Presence of Scattering Bar Optical Proximity Correction

Copyright 2000 Society of Photo Instrumentation Engineers.

Understanding focus effects in submicrometer optical lithography: a review

Exhibit 2 Declaration of Dr. Chris Mack

The End of Thresholds: Subwavelength Optical Linewidth Measurement Using the Flux-Area Technique

16nm with 193nm Immersion Lithography and Double Exposure

Synthesis of projection lithography for low k1 via interferometry

OPTICAL LITHOGRAPHY INTO THE MILLENNIUM: SENSITIVITY TO ABERRATIONS, VIBRATION AND POLARIZATION

Simulation of coherent multiple imaging by means of pupil-plane filtering in optical microlithography

immersion optics Immersion Lithography with ASML HydroLith TWINSCAN System Modifications for Immersion Lithography by Bob Streefkerk

Organic Antireflective Coatings for Photomask Fabrication using Optical Pattern Generators

Optical Projection Printing and Modeling

LITHOGRAPHIC LENS TESTING: ANALYSIS OF MEASURED AERIAL IMAGES, INTERFEROMETRIC DATA AND PHOTORESIST MEASUREMENTS

MICROCHIP MANUFACTURING by S. Wolf

Resist Process Window Characterization for the 45-nm Node Using an Interferometric Immersion microstepper

Experimental measurement of photoresist modulation curves

In-line focus monitoring and fast determination of best focus using scatterometry

(Ar [ Si O Si O] m )n

Double Exposure Using 193nm Negative Tone Photoresist

CONTACT HOLE IMAGING AT THE 0.13 µm NODE USING KrF LITHOGRAPHY

Mirror-based pattern generation for maskless lithography

Managing Within Budget

Imec pushes the limits of EUV lithography single exposure for future logic and memory

Exposure Dose Optimization for a Positive Resist Containing. Poly-functional Photoactive Compound. Chris A. Mack Shipley Company

The Formation of an Aerial Image, part 2

Large Area Interposer Lithography

Photolithography I ( Part 1 )

Improving registration metrology by correlation methods based on alias-free image simulation

Optical lithography is the technique for

Contrast Enhancement Materials CEM 365HR

Contrast Enhancement Materials CEM 365iS

Line edge roughness on photo lithographic masks

Characterization Study of an Aqueous Developable Photosensitive Polyimide on 300 mm Wafers

Section 2: Lithography. Jaeger Chapter 2. EE143 Ali Javey Slide 5-1

Copyright 2000 by the Society of Photo-Optical Instrumentation Engineers.

Secrets of Telescope Resolution

Flare compensation in EUV lithography

Lithography Simulation Tools Needed for 22nm HP and Beyond. Chris Mack

Lecture 7. Lithography and Pattern Transfer. Reading: Chapter 7

Optimization of the Spatial Properties of Illumination for Improved Lithographic Response

Characterization of a Thick Copper Pillar Bump Process

Sampling Efficiency in Digital Camera Performance Standards

450mm and Moore s Law Advanced Packaging Challenges and the Impact of 3D

1. INTRODUCTION ABSTRACT

Purpose: Explain the top 10 phenomena and concepts. BPP-1: Resolution and Depth of Focus (1.5X)

Optimizing FinFET Structures with Design-based Metrology

Optical Microlithography XXVIII

Lecture 5. Optical Lithography

Process Window OPC Verification: Dry versus Immersion Lithography for the 65 nm node

CODE V Tolerancing: A Key to Product Cost Reduction

1. INTRODUCTION 2. SCATTEROMETRY BASICS ABSTRACT

17th Annual Microelectronic Engineering Conference, May 1999

Simulation of Quartz phase etch affect on performance of ArF chrome-less hard shifter for 65-nm technology

Refractive index homogeneity TWE effect on large aperture optical systems

UV LED ILLUMINATION STEPPER OFFERS HIGH PERFORMANCE AND LOW COST OF OWNERSHIP

Results of Proof-of-Concept 50keV electron multi-beam Mask Exposure Tool (emet POC)

Double Patterning Combined with Shrink Technique to Extend ArF Lithography for Contact Holes to 22nm Node and Beyond

Understanding Focus Effects in Submicron Optical Lithography, Part 3: Methods for Depth-of-Focus Improvement

Extending SMO into the lens pupil domain

Copyright 2006 Society of Photo Instrumentation Engineers.

Phase Contrast Lithography

i- Line Photoresist Development: Replacement Evaluation of OiR

EE-527: MicroFabrication

Linewidth control by overexposure in laser lithography

Transcription:

Copyright 1997 by the Society of Photo-Optical Instrumentation Engineers. This paper was published in the proceedings of Microlithographic Techniques in IC Fabrication, SPIE Vol. 3183, pp. 14-27. It is made available as an electronic reprint with permission of SPIE. One print or electronic copy may be made for personal use only. Systematic or multiple reproduction, distribution to multiple locations via electronic or other means, duplication of any material in this paper for a fee or for commercial purposes, or modification of the content of the paper are prohibited.

Resolution and Depth of Focus in Optical Lithography Chris A. Mack FINLE Technologies, P.O. Box 162712, Austin, TX 78746 USA chris_mack@finle.com Abstract Common uses of the terms resolution and depth of focus (DOF) are explored as they relate to semiconductor lithography. A definition of DOF is given which is most appropriate to photolithography for IC manufacturing. Examples of the use of the definition for DOF for studying trends in lithography are given. Resolution is then defined based on realistic requirements for semiconductor manufacturing. Using this definition of resolution, the common scaling law of resolution with numerical aperture is shown to be inaccurate under typical conditions. Keywords: resolution, depth of focus, microlithography, optical lithography, lithography simulation I. Introduction The concepts of resolution and depth of focus (DOF) are ubiquitous throughout the field of semiconductor microlithography. Although these concepts are universally known and used, exact definitions vary widely. In fact, simply stating that a lithography process has a certain resolution or DOF provides very little information without explicitly stating what definition of resolution or DOF is being used. This lack of standard terminology is an impediment to effective communication within the industry and often results in poor interpretation and understanding of lithographic results. The underlying problem is that the simplicity of the concepts of resolution and DOF gives the false impression that simple definitions (and correspondingly simple measurement techniques) can be found that adequately express these concepts. Unfortunately, oversimplification of the definitions (and the resulting measurement techniques) quite often results in metrics that do not accurately reflect the concepts or the manufacturing realities of resolution and DOF. Worse, comparison of resolution or DOF numbers from different sources is often an impossible task due to the wide variety of definitions in use. This paper, a review of previous work [1-6], will address these issues by providing rigorous, general definitions of resolution and depth of focus which accurately reflect manufacturing needs. Systematic use of these definitions leads to clearer communication and better understanding of lithographic process capabilities.

II. Depth of Focus The effect of focus on a projection lithography system (such as a stepper or a scanner) is a critical part of understanding and controlling a lithographic process. As feature sizes decrease, their sensitivity to focus errors increases dramatically. Many people would say that this focus sensitivity is the main limitation of the use of optical lithography for smaller and smaller features and has dramatically altered the nature of modern optical lithography (e.g., the use of chemical mechanical polishing, CMP, to reduce focus errors). This section will address the importance of focus by providing a definition of depth of focus (DOF). Establishing a suitable definition for a commonly used concept such as depth of focus is not necessarily an easy task. In general, DOF can be thought of as the range of focus errors that a process can tolerate and still give acceptable lithographic results. Of course, the key to a good definition of DOF is in defining what is meant by acceptable. A change in focus results in two major changes to the final lithographic result: the photoresist profile changes, and the sensitivity of the process to other processing errors is changed. The first of these effects, the photoresist profile change, is the most obvious and the most easily observed consequence of defocus. Typically, photoresist profiles are described (in a necessary oversimplification) using three parameters: the linewidth (also called the critical dimension, CD), the sidewall angle, and the resist thickness of the feature (which is useful for lines or islands, but not spaces or contacts). In effect, the resist profile is modeled as a trapezoid, as shown in Figure 1. Usually it is more convenient to talk about resist loss (the difference between the original resist thickness and the final resist thickness), possibly as a percentage of the original resist thickness. Original Profile Trapezoidal Model D θ w Figure 1. Comparison of an actual, complex photoresist profile with its trapezoidal model used to determine linewidth, sidewall angle, and resist loss.

The variation of linewidth, sidewall angle, or resist loss with focus can be readily determined for any given set of conditions. If these were the only responses of importance, specifications on these responses would lead to a simple definition of the depth of focus: the range of focus which keeps the linewidth, sidewall angle, and resist loss within their stated specifications. There is, however, a second effect of focus which is significantly harder to quantify and of great importance. As an image goes out of focus, the process becomes more sensitive to other processing errors such as exposure dose or develop time. Of these secondary process errors, the most important by far is exposure. To state the issue in another way, focus and exposure are coupled in their effect on the process. Since the effect of focus is dependent on exposure, the only way to judge the response of the process to focus is to simultaneously vary both focus and exposure in what is known as a focus-exposure matrix. Figure 2 shows typical examples of the output of a focus-exposure matrix using linewidth, sidewall angle, and resist loss as the responses (the simulation package PROLITH/2 was used to generate these and subsequent examples of lithographic response). The most common of these curves, Figure 2a, is called the Bossung plot [7] and shows linewidth versus focus for different exposures. Each plot in Figure 2 contains a large amount of data and interpretation can become a problem. Of course, one output as a function of two inputs can be plotted in several different ways. For example, the Bossung curves of Figure 2a could also be plotted as exposure latitude curves (linewidth versus exposure) for different focus settings (Figure 3a). This is very useful in showing how defocus causes a reduction in exposure latitude. Probably the most useful way to plot the two-dimensional data set of CD versus focus and exposure is a contour plot -- contours of constant linewidth versus focus and exposure (Figure 3b). Obviously, sidewall angle and resist loss could also be plotted in these alternate forms if desired. The contour plot form of data visualization is especially useful for establishing the limits of exposure and focus which allow the final image to meet certain specifications. Rather than plotting all of the contours of constant CD for example, as was done in Figure 3b, one could plot only the two CDs corresponding to the outer limits of acceptability -- the CD specifications. Because of the nature of a contour plot, other variables can also be plotted on the same graph. Figure 4 shows an example of plotting contours of CD (nominal ±10%), 80 sidewall angle, and 10% resist loss all on the same graph. The result is a process window -- a region of focus and exposure which keeps the final resist profile within all three specifications (shown as the shaded area of Figure 4). The focus-exposure process window is one of the most important plots in lithography since it shows how exposure and focus work together to affect linewidth, sidewall angle and resist loss. All values of focus and exposure which lie inside the process window produce features which meet the profile specifications. All values of focus and exposure which lie outside the process window produce features which do not meet specifications.

Resist Linewidth (microns) Data sent to Sidewall Angle (degrees) Data sent to.80 90.0.64 85.0.48 80.0.32 75.0.16 70.0.00-1.40 -.96 -.52 -.08.36.80 Focal Position (microns) (a) 65.0-1.40 -.96 -.52 -.08.36.80 Focal Position (microns) (b) Resist Loss (nm) 450. Data sent to FIG2C.DAT. E = 160 mj/cm2 E = 180 mj/cm2 360. E = 200 mj/cm2 E = 220 mj/cm2 E = 240 mj/cm2 270. E = 260 mj/cm2 E = 300 mj/cm2 E = 340 mj/cm2 180. E = 380 mj/cm2 90. 0. -1.40 -.96 -.52 -.08.36.80 Focal Position (microns) (c) Figure 2. Simulation-generated examples of the effect of focus and exposure on the resulting resist profile: (a) linewidth, (b) sidewall angle, and (c) resist loss. Focal position is defined as zero at the top of the resist with a negative focal position indicating that the plane of focus is inside the resist.

Resist Linewidth (microns).80 Data sent to N032&111.dat. Focus = -0.30 um Focus = -0.10 um.64 Focus = 0.10 um Focus = 0.30 um Focus = 0.50 um.48.32.16.00 160. 200. 240. 280. 320. 360. Exposure Energy (mj/cm2) (a) Exposure Energy (mj/cm2) 360. Resist Feature Width CD = 0.20 um 320. CD = 0.30 um CD = 0.40 um CD = 0.50 um 280. CD = 0.60 um CD = 0.70 um 240. 200. 160. -1.40 -.96 -.52 -.08.36.80 Focal Position (microns) (b) Figure 3. Displaying the data from a focus-exposure matrix in alternate forms: (a) decrease in exposure latitude resulting from defocus, and (b) contours of constant CD versus focus and exposure.

Percent Exposure Variation 60.0 Nominal Dose = 213.33 mj/cm2 CD Process 40.0 Window 20.0 Sidewall Angle Process Window.0-20.0 Resist Loss Process Window -40.0-1.40 -.96 -.52 -.08.36.80 Focal Position (microns) Figure 4. The focus-exposure process window constructed from contours of the specifications for linewidth, sidewall angle and resist loss. Shaded area the shows overall process window. The process window can be thought of as a process capability -- how the process responds to changes in focus and exposure. How can we determine if a given process capability is good enough? An analysis of the error sources for focus and exposure in a given process will give a process requirement [3]. If the process capability exceeds the process requirements, yield will be high. If, however, the process requirement is too large to fit inside the process capability, yield will suffer. A thorough analysis of the effects of exposure and focus on yield can be accomplished with yield modeling [8,9], but a simpler analysis can be used to derive a number for depth of focus. What is the maximum range of focus and exposure (that is, the maximum process requirement) that can fit inside the process window? A simple way to investigate this question is to graphically represent errors in focus and exposure as a rectangle on the same plot as the process window. The width of the rectangle represents the built-in focus errors of the processes, and the height represents the built-in exposure errors. The problem then becomes one of finding the maximum rectangle which fits inside the process window. However, there is no one answer to this question. As Figure 5a shows, there are many possible rectangles of different widths and heights which are maximum, i.e., cannot be made larger in either direction without extending beyond the process window. (Note that the concept of a maximum area is meaningless here.)

Each maximum rectangle represents one possible trade-off between tolerance to focus errors and tolerance to exposure errors. Larger depth of focus can be obtained if exposure errors can be minimized. Likewise, exposure latitude can be improved if focus errors are small. The result is a very important trade-off between exposure latitude and DOF. Figure 5b shows an analysis of the process window where every maximum rectangle is determined and their height (the exposure latitude) is plotted versus their width (depth of focus). Percent Exposure Variation 60.0 Nominal Dose = 213.33 Percent Exposure Latitude 30.0 Data sent to e 40.0 24.0 20.0 18.0.0 12.0-20.0 6.0-40.0-1.40 -.96 -.52 -.08.36.80 Focal Position (microns) (a).0.00.40.80 1.20 1.60 2.00 Depth of Focus (microns) (b) Figure 5. The process window (a) is analyzed by fitting all of the maximum rectangles, then plotting their height (exposure latitude) versus their width (depth of focus) as in (b). A change can be made to the analysis of the process window in order to account for the statistical nature of focus and exposure errors. If all focus and exposure errors were systematic, then the proper graphical representation of those errors would indeed be a rectangle. The width and height would represent the total ranges of the respective errors. If, however, the errors were randomly distributed, then an ellipse would represent the shape of a surface of constant probability of occurrence [5]. If, for example, one wishes to describe a 3-sigma error surface, the resulting surface would be an ellipse with major and minor axes equal to three sigma errors in focus and exposure. Finding all of the maximum ellipses which fit inside the process window will also give the trade-off between exposure latitude and depth of focus. However, since it is the corners of the rectangles which limit their size in general, the ellipses which can fit inside the process window are larger, as seen in Figure 6. In reality, focus and exposure errors have both systematic and random components [3]. Thus, the two methods of analyzing the process window (rectangles corresponding to systematic errors and ellipses corresponding to random errors) will bracket the actual response of a real system. The rectangle method can be thought of as

pessimistic, whereas the ellipse method is somewhat optimistic. An average of the two can also be used as a simple, more realistic metric. Percent Exposure Variation 60.0 Nominal Dose = 213.33 Percent Exposure Latitude 30.0 40.0 24.0 Ellipse Method Rectangle Method 20.0 18.0.0 12.0-20.0 6.0-40.0-1.40 -.96 -.52 -.08.36.80 Focal Position (microns) (a).0.00.40.80 1.20 1.60 2.00 Depth of Focus (microns) (b) Figure 6. The ellipse, corresponding to a surface of constant probability for two random variables, results in a larger estimate of the depth of focus than the rectangle, which assumes only systematic errors. Once a process window has been generated and analyzed to give the exposure latitude - defocus curve, a definitive value for the depth of focus can be obtained. The depth of focus can be defined as the range of focus which keeps the resist profile within all specifications (linewidth, sidewall angle, and resist loss) over a specified exposure range. For the example given in Figure 6, a minimum acceptable exposure latitude of 15%, in addition to the other profile specifications, would lead to the following depth of focus results: DOF (rectangle) = 0.85 µm DOF (ellipse) = 1.14 µm DOF (average) = 1.00 µm (Note: the days of quoting DOF as ± some distance are over. Focus behavior for small geometries is quite asymmetric so that only the total range has a useful meaning.) The definition for depth of focus given here is quite flexible. For example, if only linewidth control is important, sidewall angle and resist loss can be given very loose specifications. If the DOF at only one exposure is needed, the exposure latitude criterion can be

set to zero. Thus, this general definition can fit most of the common uses of the term DOF without modification. It is critical, however, that numerical values for all of the specifications accompany any reporting of the numerical value of DOF. III. Resolution In the above section we defined quite carefully what is meant by depth of focus (DOF): the range of focus which keeps the resist profile of a given feature within all specifications (linewidth, sidewall angle, and resist loss) over a specified exposure range. DOF was measured for a given feature using a focus-exposure matrix and a specific methodology was proposed for analyzing the focus-exposure data to obtain the most useful determination of the DOF. This careful attention to detail was needed to correct the vague and ambiguous way in which the term depth of focus is often used in the semiconductor industry. Similar care must be taken when defining resolution. Resolution is, quite simply, the smallest feature that you are able to print (with a given process, tool set, etc.). The confusion comes from what is meant by able. For a researcher investigating a new process, ability might mean shooting a number of wafers, painstakingly searching many spots on each wafer, and finding the one place where a small feature looks somewhat properly imaged. For a production engineer, the manufacturable resolution might be the smallest feature size which provides adequate yield for a device designed to work at that size. For most lithographers, the definition falls somewhere between these two extremes. Producing an adequately resolved feature in a realistic working environment means printing the feature within specifications (linewidth, sidewall angle, and resist loss) over some expected range of process variations. As we have seen before, the two most common process variations are focus and exposure. Since our definition of depth of focus includes meeting all profile specifications over a set exposure range, a simple definition of resolution emerges: the smallest feature of a given type which can be printed with a specified depth of focus. This definition is perfectly general. If the exposure latitude specification used in the DOF definition is set to zero and the DOF specification in the resolution definition is set to zero, the research use of the term resolution is obtained (if it prints once, it is resolved). If the exposure latitude and DOF specifications are made sufficiently large to handle all normal process errors encountered in a manufacturing line, the manufacturing use of the term resolution is obtained. As with the definition of DOF, the choice of the specifications determines whether the resulting resolution is appropriate to a given application. Figure 7 illustrates the concept of resolution. The depth of focus for a pattern of equal lines and spaces is shown as a function of feature size. (For this and subsequent figures, the DOF is based on profile specifications of CD ±10%, sidewall angle > 80, resist loss < 10%, and an exposure latitude specification of 10%. All focus and exposure errors are assumed to be systematic. Each data point assumes that nominal exposure and focus were adjusted to give the best process window and thus the largest possible DOF. Mask linearity -- the ability to print different feature sizes at the same time -- is not considered here.) If zero depth of focus is

required, the resolution for this process would be about 0.33 µm. A requirement of 1.0 µm DOF would increase the minimum printable feature size to 0.38 µm, and a requirement of 1.5 µm DOF would degrade the resolution further to 0.43 µm. Obviously, a simple statement of the resolution without clearly stating the DOF requirement (and thus the profile and exposure latitude requirements) would be of little use. Depth of Focus (µm) 4.00 3.20 2.40 1.60.80.00.30.40.50.60.70.80 Mask Width (µm) Figure 7. Resolution can be defined as the smallest feature which meets a given DOF specification. Shown are simulated results for equal lines and spaces, i-line, NA = 0.54, σ = 0.5, typical resist on bare silicon. Figure 8 illustrates how a given process, tool set, etc., does not have a single resolution for all feature types. Obviously, the resolution of the isolated line shown here is greater than the other feature types. For typical DOF requirements, the contact hole shows the worst resolution under these conditions. Figure 9 illustrates how a careful definition of resolution can elucidate fundamental lithographic behavior, such as the role of numerical aperture. For larger features, lower NA gives more depth of focus. But for smaller features, the DOF falls off more quickly for the lower NA. This results in the well-known effect of an optimum NA to give the greatest DOF. But it also impacts resolution in an interesting way. If no DOF is required, the resolution (the point where each curve in Figure 9 hits the x-axis) follows the familiar trend of increased resolution with increased NA. If, however, a non-zero DOF is required, the behavior of resolution with NA becomes more complicated.

Depth of Focus (µm) 4.00 3.20 Isolated line 2.40 Contact 1.60 Dense lines/spaces.80.00.30.40.50.60.70.80 Mask Width (µm) Figure 8. Comparison of the resolution for different feature types (i-line, NA = 0.54, σ = 0.5, typical resist on bare silicon). Depth of Focus (µm) 5.00 4.00 NA = 0.40 3.00 NA = 0.50 2.00 NA = 0.60 1.00.00.30.40.50.60.70.80 Mask Width (µm) Figure 9. The definition of resolution can be used to study fundamental lithographic trends, such as the impact of numerical aperture (NA) on resolution.

Figure 10 expands on the results of Figure 9 and shows the resolution of equal line/space arrays as a function of numerical aperture for different DOF specifications. For example, with a required DOF of 1 µm, the resolution reaches an optimum (a minimum in the curve at a feature size of 0.37 µm) at a numerical aperture of 0.59. Larger numerical apertures actually reduce the resolution! As the required DOF is reduced, the NA which gives maximum resolution moves out to higher values. Also shown on the graph is the Rayleigh resolution criterion (R = k 1 λ/na) for comparison. Even if the required DOF is zero, the Rayleigh criterion overestimates the influence of numerical aperture on resolution (due to the 10% exposure latitude requirement still in the DOF = 0 definition). For larger required DOF, the Rayleigh criterion becomes less accurate at predicting the influence of NA on resolution. Resolution is a fundamental measure of the capability of a lithography process. By applying the rigorous definition of resolution given here, resolution can be measured and used to quantify the impact of process changes (such as changing the numerical aperture) or for comparing different processes. When scaling current capabilities to the future, the simple Rayleigh criterion may not be adequate. Resolution (µm) 0.50 0.45 DOF = 1.0 µm DOF = 0.8 µm DOF = 0.5 µm DOF = 0.0 µm Rayleigh, k 1 = 0.46 0.40 0.35 0.30 0.25 0.35 0.40 0.45 0.50 0.55 0.60 0.65 0.70 Numerical Aperture Figure 10. Resolution as a function of numerical aperture is more complicated than Rayleigh s criterion would imply.

IV. Conclusions Based on the above discussion, specific definitions for depth of focus and resolution can be given: Depth of Focus (DOF): the range of focus which keeps the resist profile of a given feature within all specifications (linewidth, sidewall angle, and resist loss) while maintaining at least the specified exposure latitude. Resolution: the smallest feature of a given type which can be printed with a specified depth of focus. These definitions require the specification of a number of application-specific items. DOF requires the definition of the feature type and size, the profile specifications (linewidth, sidewall angle, and resist loss), and the minimum acceptable exposure latitude. In addition, resolution requires a minimum acceptable DOF. Any reporting of the resolution or the DOF of a process must be accompanied by the specification of these items. Proper application of the definitions of resolution and depth of focus will lead to effective communication of lithographic results and a better understanding of the role of these quantities in determining the manufacturability of a lithographic process. References 1. C. A. Mack, Understanding Focus Effects in Submicron Optical Lithography, Optical/Laser Microlithography, Proc., SPIE Vol. 922 (1988) pp. 135-148, and Optical Engineering, Vol. 27, No. 12 (Dec. 1988) pp. 1093-1100. 2. C. A. Mack and P. M. Kaufman, Understanding Focus Effects in Submicron Optical Lithography, part 2: Photoresist effects, Optical/Laser Microlithography II, Proc., SPIE Vol. 1088 (1989) pp. 304-323.

3. C. A. Mack, Understanding Focus Effects in Submicron Optical Lithography, part 3: Methods for Depth-of-Focus Improvement, Optical/Laser Microlithography V, Proc., SPIE Vol. 1674 (1992) pp. 272-284. 4. C. A. Mack, Understanding Focus Effects in Submicron Optical Lithography: a Review, Optical Engineering, Vol. 32, No. 10 (Oct. 1993) pp. 2350-2362. 5. C. A. Mack, Focus Effects in Submicron Optical Lithography, Part 4: Metrics for Depth of Focus, Optical/Laser Microlithography VIII, Proc., SPIE Vol. 2440 (1995) pp. 458-471. 6. C. A. Mack, Resolution, Microlithography World, Vol. 6, No. 1 (Winter, 1997) pp. 16-17. 7. J. W. Bossung, Projection Printing Characterization, Developments in Semiconductor Microlithography II, Proc., SPIE Vol. 100 (1977) pp. 80-84. 8. C. A. Mack and E. W. Charrier, Yield Modeling for Photolithography, OCG Microlithography Seminar Interface 94, Proc., (1994) pp. 171-182. 9. E. W. Charrier and C. A. Mack, Yield Modeling and Enhancement for Optical Lithography, Optical/Laser Microlithography VIII, Proc., SPIE Vol. 2440 (1995) pp. 435-447.